,patent_number,decision,title,abstract,claims,background,summary,description,cpc_label,ipc_label,filing_date,patent_issue_date,date_published,examiner_id 0,14908945,ACCEPTED,WNT SIGNALING INHIBITOR,"A Wnt signaling inhibitor which comprises, as an active ingredient, a fused-ring heterocyclic compound represented by the following formula (IA) or a pharmaceutically acceptable salt thereof, and the like are provided: (wherein, n1A represents 0 or 1; n2A and n3A may be the same or different, and each represents 1 or 2; ROA represents optionally substituted aryl or the like; R2A represents a hydrogen atom or the like; R3A represents an optionally substituted aromatic heterocyclic group or the like; X1A, X2A, X3A and X4A each represent CH or the like; Y1A represents CH2 or the like; Y2A represents N or the like; and LA represents CH2 or the like).","1: A method of inhibiting Wnt signaling, comprising administering an effective amount of a fused-ring heterocyclic compound represented by formula (IA) or a pharmaceutically acceptable salt thereof to a subject in need thereof: wherein: n1A represents 0 or 1; n2A and n3A may be the same or different, and each represents 1 or 2; ROA represents a hydrogen atom, an optionally substituted aryl, an optionally substituted aromatic heterocyclic group, or an optionally substituted aliphatic heterocyclic group; R2A represents a hydrogen atom or hydroxy; R3A represents an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group; X1A, X2A, X3A, and X4A may be the same or different, and each represents N or CR4A; each R4A independently represents a hydrogen atom, a lower alkyl, cyano, a halogen, hydroxy, a lower alkoxy, a lower alkanoyl, or a lower alkylsulfonyl; Y1A represents CH2 or C(═O); Y2A represents CH or N; and LA represents CH2 or NH. 2: A fused-ring heterocyclic compound represented by formula (I) or a pharmaceutically acceptable salt thereof: wherein: n1 represents 0 or 1; n2 and n3 may be the same or different, and each represents 1 or 2; R1 represents an optionally substituted aryl, an optionally substituted aromatic heterocyclic group, or an optionally substituted aliphatic heterocyclic group; R2 represents a hydrogen atom or hydroxy; R3 represents an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group; X1, X2, X3, and X4 may be the same or different, and each represents N or CR4; each R4 independently represents a hydrogen atom, a lower alkyl, cyano, a halogen, hydroxy, a lower alkoxy, a lower alkanoyl, or a lower alkylsulfonyl; Y1 represents CH2 or C(═O); Y2 represents CH or N; and L represents CH2 or NH. 3: The compound or pharmaceutically acceptable salt according to claim 2, wherein n2 and n3 are each 2. 4: The compound or pharmaceutically acceptable salt according to claim 2, wherein Y2 is N, and L is CH2. 5: The compound or pharmaceutically acceptable salt according to claim 2, wherein Y1 is CH2. 6: The compound or pharmaceutically acceptable salt according to claim 2, wherein n1 is 0. 7: The compound or pharmaceutically acceptable salt according to claim 2, wherein R1 is (i) an optionally substituted phenyl, or (ii) an optionally substituted pyridyl, pyridonyl, or pyrimidinyl. 8: The compound or pharmaceutically acceptable salt according to claim 2, wherein R1 is: a group represented by formula (a1): wherein R5 represents a hydrogen atom, a C1-10 alkyl which may be substituted with hydroxy, a C1-10 alkoxycarbonyl, a C2-11 alkanoyl, a C1-10 alkylsulfonyl, a —NR6aR6b wherein R6a and R6b may be the same or different, and each represents a hydrogen atom, a C2-11 alkanoyl, or a C1-10 alkyl, —CONR6cR6d wherein R6c and R6d may be the same or different, and each represents a hydrogen atom or a C1-10 alkyl, —SO2NR6eR6f wherein R6e and R6f may be the same or different, and each represents a hydrogen atom or a C1-10 alkyl, a halogen, cyano, carboxy, or nitro; and Z1, Z2, Z3, and Z4 may be the same or different, and each represents N or CR7 wherein R7 represents a hydrogen atom, carboxy, or a halogen; or a group represented by the following formula (a2): wherein R5, Z1 and Z4 are as defined above. 9: The compound or pharmaceutically acceptable salt according to claim 8, wherein R5 is cyano, —CONH2, or —SO2NH2. 10: The compound or pharmaceutically acceptable salt according to claim 8, wherein R5 is cyano. 11: The compound or pharmaceutically acceptable salt according to claim 8, wherein R7 is a hydrogen atom or a fluorine atom. 12: The compound or pharmaceutically acceptable salt according to claim 2, wherein R3 is an optionally substituted aromatic heterocyclic group. 13: The compound or pharmaceutically acceptable salt according to claim 12, wherein the aromatic heterocyclic group is a bicyclic aromatic heterocyclic group. 14: The compound or pharmaceutically acceptable salt according to claim 12, wherein the aromatic heterocyclic group is quinazolinyl. 15: The compound or pharmaceutically acceptable salt according to claim 2, wherein R3 is an optionally substituted aliphatic heterocyclic group. 16: A pharmaceutical composition, comprising, as an active ingredient, the compound or pharmaceutically acceptable salt according to claim 2. 17-21. (canceled) 22: A method of inhibiting Wnt signaling, comprising administering an effective amount of the compound or pharmaceutically acceptable salt according to claim 2 to a subject in need thereof. 23: The method according to claim 22, wherein inhibiting Wnt signaling comprises inhibiting Wnt signaling by tankyrase inhibition. 24: A method of treating a disease associated with Wnt signaling, comprising administering an effective amount of the compound or pharmaceutically acceptable salt according to claim 2 to a subject in need thereof. 25: The method according to claim 24, wherein the disease is selected from the group consisting of cancer, pulmonary fibrosis, fibromatosis, and osteoarthritis. 26-35. (canceled) 36: A method of preventing a disease associated with Wnt signaling, comprising administering an effective amount of the compound or pharmaceutically acceptable salt according to claim 2 to a subject in need thereof. 37: The method according to claim 36, wherein the disease is selected from the group consisting of cancer, pulmonary fibrosis, fibromatosis, and osteoarthritis."," BACKGROUND ART In chemotherapy for cancer, various antitumor agents such as microtubule agonists such as taxanes, Vinca alkaloids and the like; topoisomerase inhibitors; alkylating agents and the like are used. These antitumor agents have various problems, for example, the types of cancer for which these antitumor agents can be used are limited, adverse effects such as myelotoxicity, neuropathy and the like are observed, drug-resistant tumors emerge, and the like (Nature Reviews Cancer 2003, 3, 502). Recently, a molecular targeted antitumor agent showing effectiveness against a specific type of cancer has been reported. Imatinib or gefitinib, which is a tyrosine kinase inhibitor, shows effectiveness also in chronic myeloid leukemia or non-small-cell lung cancer against which existing antitumor agents are ineffective. However, the types of cancer against which the agent shows effectiveness are limited, and also, a case where acquisition of resistance is observed has been reported (Nature Reviews Drug Discovery 2004, 3, 1001). Therefore, a novel antitumor agent in which such problems are improved has been demanded. Wnt/β-catenin signaling is an important pathway associated with development, differentiation, and maintenance of living organisms (Nature Reviews Drug Discovery 2006, 5, 997). On the other hand, it is known that abnormal Wnt/β-catenin signaling is also associated with various diseases such as cancer and the like. In the absence of Wnt signaling, cytoplasmic β-catenin is kept at a low level. Axin and Adenomatous Polyposis Coli (APC) form a scaffold to accelerate the phosphorylation of intracellular β-catenin by casein kinase 1α (CK1α) and glycogen synthase kinase 3β (GSK3β). The phosphorylated β-catenin is ubiquitinated and degraded by proteasome. Due to this, β-catenin is kept at a low level, and therefore cannot play a role as a transcriptional activator. In the presence of a Wnt ligand, when the Wnt ligand binds to a Frizzled (Fzd) receptor and a low-density lipoprotein receptor-related protein (LRP) receptor, an Axin-APC-CK1α-GSK3β complex is inactivated through Deshevelled (Dv1). Dephosphorylated β-catenin is stable and is accumulated in cells and transferred to the nucleus, and then binds to a T-cell factor (Tcf)/lymphoid enhancer factor (Lef) family transcription factor. This transcription factor complex induces the transcriptional activation of various target genes associated with proliferation, survival, and differentiation of cells. Abnormal activation of Wnt/β-catenin signaling has been reported in various tumor tissues. The activation of Wnt/β-catenin signaling in a tumor is associated with a gene mutation of a molecule constituting this signaling or an increase or decrease in the expression level of a gene product thereof (Nature Reviews Drug Discovery 2006, 5, 997, Nature Reviews Cancer 2008, 8, 387). For example, in large bowel cancer and familial adenomatous polyposis coli , an APC gene loss-of-function mutation has been reported. In large bowel cancer, hepatocellular carcinoma, hepatoblastoma, and medulloblastoma, an Axin gene loss-of-function mutation has been reported. In large bowel cancer, stomach cancer, hepatocellular carcinoma, hepatoblastoma, Wilms' tumor, ovarian cancer, and pancreatic cancer, a β-catenin gene gain-of-function mutation has been reported. In large bowel cancer, breast cancer, melanoma, head and neck cancer, non-small-cell lung cancer, stomach cancer, mesothelioma, and pancreatic cancer, an increase in the expression of a Wnt ligand has been reported. In large bowel cancer, breast cancer, head and neck cancer, stomach cancer, synovial sarcoma, and pancreatic cancer, an increase in the expression of a Fzd receptor has been reported. In mesothelioma, non-small-cell lung cancer, and cervical cancer, an increase in the expression of a Dvl family member has been reported. In large bowel cancer, breast cancer, stomach cancer, mesothelioma, non-small-cell lung cancer, prostate cancer, esophageal cancer, and leukemia, a decrease in the expression of a secreted frizzled-related protein (SFRP) family member, which is a Wnt ligand inhibitory factor, has been reported. In large bowel cancer, breast cancer, prostate cancer, lung cancer, bladder cancer, and mesothelioma, a decrease in the expression of a Wnt inhibitory factor (WIF) family member has been reported. The inhibition of Wnt/β-catenin signaling inhibits the proliferation of a cancer cell line in which Wnt/β-catenin signaling is activated in this manner (Cell 2002, 111, 241, Oncogene 2005, 24, 3054, Neoplasia 2004, 6, 7, Clinical Cancer Research 2003, 9, 1291, Cancer Research 2004, 64, 5385, Cancer Cell 2004, 5, 91, Proceedings of the National Academy of Sciences of the U.S. Pat. No. 2,004,101, 12682). Therefore, a molecule that inhibits Wnt/β-catenin pathway is considered to be promising as an antitumor agent. There has been a report that diseases other than cancer including pulmonary fibrosis, fibromatosis, and osteoarthritis are associated with Wnt/β-catenin signaling (The American Journal of Pathology 2003, 162, 1393, Proceedings of the National Academy of Sciences of the United States of America 2002, 99, 6973, Proceedings of the National Academy of Sciences of the U.S. Pat. No. 2,004,101, 9757). Therefore, a molecule that inhibits Wnt/β-catenin pathway is expected to be useful as a therapeutic agent in these fields. As a compound that inhibits Wnt/β-catenin signaling, a tankyrase inhibitor has been reported (Nature 2009, 461, 614). Tankyrase belongs to the family of poly-(ADP-ribose) polymerases (PARP), and is also known as “PARP5” (Nature Reviews Molecular Cell Biology 2006, 7, 517). It has been reported that tankyrase binds to Axin which is associated with the degradation of cytoplasmic 3-catenin to perform poly-ADP ribosylation, thereby accelerating the degradation of Axin (Nature 2009, 461, 614). It has been reported that a tankyrase inhibitor accelerates the degradation of β-catenin by stabilizing Axin and inhibits Wnt/β-catenin pathway, thereby inhibiting the proliferation of a cancer cell line in which Wnt/β-catenin signaling is activated (Nature 2009, 461, 614). Therefore, such a tankyrase inhibitor is expected to be useful as a therapeutic agent for a disease in which Wnt/β-catenin signaling is activated as described above. On the other hand, it is known that a compound represented by the following formula (A) has an adenosine uptake activity (patent document 1). It is also known that a compound represented by the following formula (B) has a cardiotonic activity (non-patent document 1). As a compound having a Wnt pathway inhibitory activity, a compound represented by the following formula (C) (non-patent document 2) is known. As a compound having a tankyrase inhibitory activity, a compound represented by the following formula (D) (non-patent document 3), a compound represented by the following formula (E) (non-patent document 4), and the like are known.", SUMMARY OF INVENTION ,"TECHNICAL FIELD The present invention relates to a fused-ring heterocyclic derivative or a pharmaceutically acceptable salt thereof, which has a Wnt signaling inhibitory activity, and is useful as a therapeutic and/or preventive agent for, for example, cancer, pulmonary fibrosis, fibromatosis, osteoarthritis, and the like, and the like. BACKGROUND ART In chemotherapy for cancer, various antitumor agents such as microtubule agonists such as taxanes, Vinca alkaloids and the like; topoisomerase inhibitors; alkylating agents and the like are used. These antitumor agents have various problems, for example, the types of cancer for which these antitumor agents can be used are limited, adverse effects such as myelotoxicity, neuropathy and the like are observed, drug-resistant tumors emerge, and the like (Nature Reviews Cancer 2003, 3, 502). Recently, a molecular targeted antitumor agent showing effectiveness against a specific type of cancer has been reported. Imatinib or gefitinib, which is a tyrosine kinase inhibitor, shows effectiveness also in chronic myeloid leukemia or non-small-cell lung cancer against which existing antitumor agents are ineffective. However, the types of cancer against which the agent shows effectiveness are limited, and also, a case where acquisition of resistance is observed has been reported (Nature Reviews Drug Discovery 2004, 3, 1001). Therefore, a novel antitumor agent in which such problems are improved has been demanded. Wnt/β-catenin signaling is an important pathway associated with development, differentiation, and maintenance of living organisms (Nature Reviews Drug Discovery 2006, 5, 997). On the other hand, it is known that abnormal Wnt/β-catenin signaling is also associated with various diseases such as cancer and the like. In the absence of Wnt signaling, cytoplasmic β-catenin is kept at a low level. Axin and Adenomatous Polyposis Coli (APC) form a scaffold to accelerate the phosphorylation of intracellular β-catenin by casein kinase 1α (CK1α) and glycogen synthase kinase 3β (GSK3β). The phosphorylated β-catenin is ubiquitinated and degraded by proteasome. Due to this, β-catenin is kept at a low level, and therefore cannot play a role as a transcriptional activator. In the presence of a Wnt ligand, when the Wnt ligand binds to a Frizzled (Fzd) receptor and a low-density lipoprotein receptor-related protein (LRP) receptor, an Axin-APC-CK1α-GSK3β complex is inactivated through Deshevelled (Dv1). Dephosphorylated β-catenin is stable and is accumulated in cells and transferred to the nucleus, and then binds to a T-cell factor (Tcf)/lymphoid enhancer factor (Lef) family transcription factor. This transcription factor complex induces the transcriptional activation of various target genes associated with proliferation, survival, and differentiation of cells. Abnormal activation of Wnt/β-catenin signaling has been reported in various tumor tissues. The activation of Wnt/β-catenin signaling in a tumor is associated with a gene mutation of a molecule constituting this signaling or an increase or decrease in the expression level of a gene product thereof (Nature Reviews Drug Discovery 2006, 5, 997, Nature Reviews Cancer 2008, 8, 387). For example, in large bowel cancer and familial adenomatous polyposis coli, an APC gene loss-of-function mutation has been reported. In large bowel cancer, hepatocellular carcinoma, hepatoblastoma, and medulloblastoma, an Axin gene loss-of-function mutation has been reported. In large bowel cancer, stomach cancer, hepatocellular carcinoma, hepatoblastoma, Wilms' tumor, ovarian cancer, and pancreatic cancer, a β-catenin gene gain-of-function mutation has been reported. In large bowel cancer, breast cancer, melanoma, head and neck cancer, non-small-cell lung cancer, stomach cancer, mesothelioma, and pancreatic cancer, an increase in the expression of a Wnt ligand has been reported. In large bowel cancer, breast cancer, head and neck cancer, stomach cancer, synovial sarcoma, and pancreatic cancer, an increase in the expression of a Fzd receptor has been reported. In mesothelioma, non-small-cell lung cancer, and cervical cancer, an increase in the expression of a Dvl family member has been reported. In large bowel cancer, breast cancer, stomach cancer, mesothelioma, non-small-cell lung cancer, prostate cancer, esophageal cancer, and leukemia, a decrease in the expression of a secreted frizzled-related protein (SFRP) family member, which is a Wnt ligand inhibitory factor, has been reported. In large bowel cancer, breast cancer, prostate cancer, lung cancer, bladder cancer, and mesothelioma, a decrease in the expression of a Wnt inhibitory factor (WIF) family member has been reported. The inhibition of Wnt/β-catenin signaling inhibits the proliferation of a cancer cell line in which Wnt/β-catenin signaling is activated in this manner (Cell 2002, 111, 241, Oncogene 2005, 24, 3054, Neoplasia 2004, 6, 7, Clinical Cancer Research 2003, 9, 1291, Cancer Research 2004, 64, 5385, Cancer Cell 2004, 5, 91, Proceedings of the National Academy of Sciences of the U.S. Pat. No. 2,004,101, 12682). Therefore, a molecule that inhibits Wnt/β-catenin pathway is considered to be promising as an antitumor agent. There has been a report that diseases other than cancer including pulmonary fibrosis, fibromatosis, and osteoarthritis are associated with Wnt/β-catenin signaling (The American Journal of Pathology 2003, 162, 1393, Proceedings of the National Academy of Sciences of the United States of America 2002, 99, 6973, Proceedings of the National Academy of Sciences of the U.S. Pat. No. 2,004,101, 9757). Therefore, a molecule that inhibits Wnt/β-catenin pathway is expected to be useful as a therapeutic agent in these fields. As a compound that inhibits Wnt/β-catenin signaling, a tankyrase inhibitor has been reported (Nature 2009, 461, 614). Tankyrase belongs to the family of poly-(ADP-ribose) polymerases (PARP), and is also known as “PARP5” (Nature Reviews Molecular Cell Biology 2006, 7, 517). It has been reported that tankyrase binds to Axin which is associated with the degradation of cytoplasmic 3-catenin to perform poly-ADP ribosylation, thereby accelerating the degradation of Axin (Nature 2009, 461, 614). It has been reported that a tankyrase inhibitor accelerates the degradation of β-catenin by stabilizing Axin and inhibits Wnt/β-catenin pathway, thereby inhibiting the proliferation of a cancer cell line in which Wnt/β-catenin signaling is activated (Nature 2009, 461, 614). Therefore, such a tankyrase inhibitor is expected to be useful as a therapeutic agent for a disease in which Wnt/β-catenin signaling is activated as described above. On the other hand, it is known that a compound represented by the following formula (A) has an adenosine uptake activity (patent document 1). It is also known that a compound represented by the following formula (B) has a cardiotonic activity (non-patent document 1). As a compound having a Wnt pathway inhibitory activity, a compound represented by the following formula (C) (non-patent document 2) is known. As a compound having a tankyrase inhibitory activity, a compound represented by the following formula (D) (non-patent document 3), a compound represented by the following formula (E) (non-patent document 4), and the like are known. PRIOR ART DOCUMENTS Patent Document patent document 1: WO96/06841 Non-Patent Documents non-patent document 1: Chemical and Pharmaceutical Bulletin (Chem. Pharm. Bull.), 1990, vol. 38, p. 1591 non-patent document 2: Nature Chemical Biology (Nat. Chem. Biol.), 2009, vol. 5, p. 100 non-patent document 3: Journal of Medicinal Chemistry (J. Med. Chem.), 2012, vol. 55, p. 1127 non-patent document 4: Nature, 2009, vol. 461, p. 61 SUMMARY OF INVENTION Problems to be Solved by the Invention An object of the present invention is to provide a fused-ring heterocyclic compound or a pharmaceutically acceptable salt thereof, which has a Wnt signaling inhibitory activity, and is useful as a therapeutic and/or preventive agent for, for example, cancer, pulmonary fibrosis, fibromatosis, osteoarthritis, and the like, and the like. Means of Solving the Problems The present invention relates to the following (1) to (35). (1) A Wnt signaling inhibitor, comprising, as an active ingredient, a fused-ring heterocyclic compound represented by the general formula (IA) or a pharmaceutically acceptable salt thereof: [wherein n1A represents 0 or 1; n2A and n3A may be the same or different, and each represents 1 or 2; ROA represents a hydrogen atom, optionally substituted aryl, an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group; R2A represents a hydrogen atom or hydroxy; R3A represents an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group; X1A, X2A, X3A, and X4A may be the same or different, and each represents N or CR4A (wherein R4A represents a hydrogen atom, lower alkyl, cyano, halogen, hydroxy, lower alkoxy, lower alkanoyl or lower alkylsulfonyl); Y1A represents CH2 or C(═O); Y2A represents CH or N; and LA represents CH2 or NH]. (2) A fused-ring heterocyclic compound represented by the general formula (I) or a pharmaceutically acceptable salt thereof: [wherein n1 represents 0 or 1; n2 and n3 may be the same or different, and each represents 1 or 2; R1 represents optionally substituted aryl, an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group; R2 represents a hydrogen atom or hydroxy; R3 represents an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group; X1, X2, X3, and X4 may be the same or different, and each represents N or CR4 (wherein R4 represents a hydrogen atom, lower alkyl, cyano, halogen, hydroxy, lower alkoxy, lower alkanoyl or lower alkylsulfonyl); Y1 represents CH2 or C(═O); Y2 represents CH or N; and L represents CH2 or NH]. (3) The compound or the pharmaceutically acceptable salt thereof according to (2), wherein n2 and n3 are each 2. (4) The compound or the pharmaceutically acceptable salt thereof according to (2) or (3), wherein Y2 is N, and L is CH2. (5) The compound or the pharmaceutically acceptable salt thereof according to any one of (2) to (4), wherein Y1 is CH2. (6) The compound or the pharmaceutically acceptable salt thereof according to any one of (2) to (5), wherein n1 is 0. (7) The compound or the pharmaceutically acceptable salt thereof according to any one of (2) to (6), wherein R1 is (i) optionally substituted aryl, in which the aryl is phenyl, or (ii) an optionally substituted aromatic heterocyclic group, in which the aromatic heterocyclic group is pyridyl, pyridonyl or pyrimidinyl. (8) The compound or the pharmaceutically acceptable salt thereof according to any one of (2) to (7), wherein R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group, and the group is a group represented by the following formula (a1): [wherein Rs represents a hydrogen atom, C1-10 alkyl which may be substituted with hydroxy, C1-10 alkoxycarbonyl, C2-11 alkanoyl, C1-10 alkylsulfonyl, —NR6aR6b (wherein R6a and R6b may be the same or different, and each represents a hydrogen atom, C2-11 alkanoyl or C1-10 alkyl), —CONR6cR6d (wherein R6c and R6d may be the same or different, and each represents a hydrogen atom or C1-10 alkyl), —SO2NR6eR6f (wherein R6e and R6f may be the same or different, and each represents a hydrogen atom or C1-10 alkyl), halogen, cyano, carboxy or nitro, and Z1, Z2, Z3 and Z4 may be the same or different, and each represents N or CR7 (wherein R7 represents a hydrogen atom, carboxy or halogen)], or a group represented by the following formula (a2): (wherein R5, Z1 and Z4 have the same definitions as described above, respectively). (9) The compound or the pharmaceutically acceptable salt thereof according to (8), wherein R5 is cyano, —CONH2 or —SO2NH2. (10) The compound or the pharmaceutically acceptable salt thereof according to (8), wherein R5 is cyano. (11) The compound or the pharmaceutically acceptable salt thereof according to any one of (8) to (10), wherein R7 is a hydrogen atom or a fluorine atom. (12) The compound or the pharmaceutically acceptable salt thereof according to any one of (2) to (11), wherein R3 is an optionally substituted aromatic heterocyclic group. (13) The compound or the pharmaceutically acceptable salt thereof according to (12), wherein the aromatic heterocyclic group is a bicyclic aromatic heterocyclic group. (14) The compound or the pharmaceutically acceptable salt thereof according to (12), wherein the aromatic heterocyclic group is quinazolinyl. (15) The compound or the pharmaceutically acceptable salt thereof according to any one of (2) to (11), wherein R3 is an optionally substituted aliphatic heterocyclic group. (16) A pharmaceutical composition, comprising, as an active ingredient, the compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15). (17) A Wnt signaling inhibitor, comprising, as an active ingredient, the compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15). (18) The Wnt signaling inhibitor according to (1) or (17), wherein the Wnt signaling inhibition is Wnt signaling inhibition by tankyrase inhibition. (19) A therapeutic and/or preventive agent for a disease associated with Wnt signaling, comprising, as an active ingredient, the compound or the pharmaceutically acceptable salt thereof described in any one of (1) to (15). (20) The agent according to (19), wherein the disease associated with Wnt signaling is cancer, pulmonary fibrosis, fibromatosis or osteoarthritis. (21) A method for inhibiting Wnt signaling, comprising administering an effective amount of a fused-ring heterocyclic compound represented by the general formula (IA) or a pharmaceutically acceptable salt thereof: (wherein n1A, n2A, n3A, R0A, R2A, R3A, X1A, X2A, X3A, X4A, Y1A, Y2A, and LA have the same definitions as described above, respectively). (22) A method for inhibiting Wnt signaling, comprising administering an effective amount of the compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15). (23) The method according to (21) or (22), wherein the method for inhibiting Wnt signaling is a method for inhibiting Wnt signaling by tankyrase inhibition. (24) A method for treating and/or preventing a disease associated with Wnt signaling, comprising administering an effective amount of the compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15) and (21). (25) The method according to (24), wherein the disease associated with Wnt signaling is cancer, pulmonary fibrosis, fibromatosis or osteoarthritis. (26) A fused-ring heterocyclic compound represented by the general formula (IA) or a pharmaceutically acceptable salt thereof for use in Wnt signaling inhibition: (wherein n1A, n2A, n3A, R0A, R2A, R3A, X1A, X2A, X3A, X4A, Y1A, Y2A, and LA have the same definitions as described above, respectively). (27) The compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15) for use in Wnt signaling inhibition. (28) The compound or the pharmaceutically acceptable salt thereof according to (26) or (27), wherein the Wnt signaling inhibition is Wnt signaling inhibition by tankyrase inhibition. (29) The compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15) and (26) for use in the treatment and/or prevention of a disease associated with Wnt signaling. (30) The compound or the pharmaceutically acceptable salt thereof according to (29), wherein the disease associated with Wnt signaling is cancer, pulmonary fibrosis, fibromatosis or osteoarthritis. (31) Use of a fused-ring heterocyclic compound represented by the general formula (IA) or a pharmaceutically acceptable salt thereof for the manufacture of a Wnt signaling inhibitor: (wherein n1A, n2A, n3A, R0A, R2A, R3A, X1A, X2A, X3A, X4A, Y1A, Y2A, and LA have the same definitions as described above, respectively). (32) Use of the compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15) for the manufacture of a Wnt signaling inhibitor. (33) The use of the compound or the pharmaceutically acceptable salt thereof according to (31) or (32), wherein the Wnt signaling inhibition is Wnt signaling inhibition by tankyrase inhibition. (34) Use of the compound or the pharmaceutically acceptable salt thereof described in any one of (2) to (15) and (31) for the manufacture of a therapeutic and/or preventive agent for a disease associated with Wnt signaling. (35) The use according to (34), wherein the disease associated with Wnt signaling is cancer, pulmonary fibrosis, fibromatosis or osteoarthritis. Effects of Invention A fused-ring heterocyclic compound or a pharmaceutically acceptable salt thereof according to the present invention has a Wnt signaling inhibitory activity and is useful as a therapeutic and/or preventive agent for, for example, cancer, pulmonary fibrosis, fibromatosis, osteoarthritis, and the like. MODE FOR CARRYING OUT THE INVENTION Hereinafter, a compound represented by the general formula (I) is referred to as Compound (I). The compounds having the other formula numbers are referred to in the same manner. In the definitions of the respective groups in the general formula (I) and the general formula (IA), examples of the lower alkyl; the lower alkyl moieties of the lower alkoxy, the lower alkanoyl and the lower alkylsulfonyl; the C1-10 alkyl; and the C1-10 alkyl moieties of the C1-10 alkoxycarbonyl, the C2-11 alkanoyl and the C1-10 alkylsulfonyl include linear or branched alkyl each having 1 to 10 carbon atoms, and more specifically include methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, isopentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, and the like. Examples of the aryl include aryl each having 6 to 14 carbon atoms, and more specifically include phenyl, naphthyl, azulenyl, anthryl, and the like. Examples of the aliphatic heterocyclic group include a 5- or 6-membered monocyclic aliphatic heterocyclic group having at least one atom selected from a nitrogen atom, an oxygen atom and a sulfur atom, a bicyclic or tricyclic fused-ring aliphatic heterocyclic group in which 3- to 8-membered rings are fused and at least one atom selected from a nitrogen atom, an oxygen atom and a sulfur atom is contained, and the like, and more specifically include aziridinyl, azetidinyl, pyrrolidinyl, piperidino, piperidinyl, azepanyl, 1,2,5,6-tetrahydropyridyl, imidazolidinyl, pyrazolidinyl, piperazinyl, homopiperazinyl, pyrazolinyl, oxiranyl, tetrahydrofuranyl, tetrahydro-2H-pyranyl, 5,6-dihydro-2H-pyranyl, oxazolidinyl, morpholino, morpholinyl, thioxazolidinyl, thiomorpholinyl, 2H-oxazolyl, 2H-thioxazolyl, dihydroindolyl, dihydroisoindolyl, dihydrobenzofuranyl, benzoimidazolidinyl, dihydrobenzoxazolyl, dihydrobenzothioxazolyl, benzodioxolinyl, tetrahydroquinolyl, tetrahydroisoquinolyl, dihydro-2H-chromanyl, dihydro-H-chromanyl, dihydro-2H-thiochromanyl, dihydro-1H-thiochromanyl, tetrahydroquinoxalinyl, tetrahydroquinazolinyl, dihydrobenzodioxanyl, 7,8-dihydro-5H-pyrano[4,3-d]pyrimidinyl, 5,6,7,8-tetrahydropyrido[4,3-d]pyrimidinyl, dioxoloquinazolinyl, 6-oxo-6,7-dihydro-5H-pyrimido[4,5-b][1,4]oxazin-4-yl, and the like. Examples of the aromatic heterocyclic group include a 5- or 6-membered monocyclic aromatic heterocyclic group having at least one atom selected from a nitrogen atom, an oxygen atom and a sulfur atom, a bicyclic or tricyclic fused-ring aromatic heterocyclic group in which 3- to 8-membered rings are fused and at least one atom selected from a nitrogen atom, an oxygen atom and a sulfur atom is contained, and the like, and more specifically include furyl, thienyl, pyrrolyl, imidazolyl, pyrazolyl, oxazolyl, isoxazolyl, oxadiazolyl, thiazolyl, isothiazolyl, thiadiazolyl, triazolyl, tetrazolyl, pyridyl, pyridonyl, pyridazinyl, pyrimidinyl, pyrazinyl, triazinyl, benzofuranyl, benzothiophenyl, benzoxazolyl, benzothiazolyl, isoindolyl, indolyl, indazolyl, benzoimidazolyl, benzotriazolyl, oxazolopyrimidinyl, thiazolopyrimidinyl, pyrrolopyridinyl, pyrrolopyrimidinyl, imidazopyridinyl, purinyl, quinolinyl, isoquinolinyl, cinnolinyl, phthalazinyl, quinazolinyl, quinoxalinyl, naphthyridinyl, pyridopyrimidinyl, 7-oxidopyrido[4,3-d]pyrimidinyl, benzo [d][1,2,3]triazinyl, [1,2,4]triazolo[4,3-a]pyridin-3(2H)-onyl, 8-oxo-8,9-dihydro-7H-purin-6-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyridin-5-yl, 4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl, 4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-7-yl, 4-oxo-3,4-dihydropyrido[3,4-d]pyrimidin-5-yl, 4-oxo-3,4-dihydropyrido[3,4-d]pyrimidin-8-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyridin-6-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyrazin-6-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyrazin-8-yl, imidazo[1,2-a]pyrazinyl, and the like. Examples of the bicyclic aromatic heterocyclic group include, among the above-mentioned aromatic heterocyclic rings, benzofuranyl, benzothiophenyl, benzoxazolyl, benzothiazolyl, isoindolyl, indolyl, indazolyl, benzoimidazolyl, benzotriazolyl, oxazolopyrimidinyl, thiazolopyrimidinyl, pyrrolopyridinyl, pyrrolopyrimidinyl, imidazopyridinyl, purinyl, quinolinyl, isoquinolinyl, cinnolinyl, phthalazinyl, quinazolinyl, quinoxalinyl, naphthyridinyl, pyridopyrimidinyl, 7-oxidopyrido[4,3-d]pyrimidinyl, benzo[d][1,2,3]triazinyl, [1,2,4]triazolo[4,3-a]pyridin-3(2H)-onyl, 8-oxo-8,9-dihydro-7H-purin-6-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyridin-5-yl, 4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl, 4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-7-yl, 4-oxo-3,4-dihydropyrido[3,4-d]pyrimidin-5-yl, 4-oxo-3,4-dihydropyrido[3,4-d]pyrimidin-8-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyridin-6-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyrazin-6-yl, 3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyrazin-8-yl, imidazo[1,2-a]pyrazinyl, and the like. The halogen means each atom of fluorine, chlorine, bromine or iodine. Examples of the substituents in the optionally substituted aryl and the optionally substituted aromatic heterocyclic group, which may be the same or different and in number of, for example, 1 to 3, include substituents selected from the group comprising halogen, hydroxy, nitro, cyano, carboxy, sulfamoyl, C1-10 alkyl which may be substituted with hydroxy, trifluoromethyl, C3-8 cycloalkyl, C6-14 aryl, an aliphatic heterocyclic group, an aromatic heterocyclic group, C1-10 alkoxy, C3-8 cycloalkoxy, C6-14 aryloxy, C7-16 aralkyloxy, C2-11 alkanoyloxy, C7-15 aroyloxy, C1-10 alkylsulfanyl, —NRXaRYa (wherein RXa and RYa may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group, C7-16 aralkyl, C2-11 alkanoyl, C7-15 aroyl, C1-10alkoxycarbonyl or C7-16 aralkyloxycarbonyl, or RXa and RYa are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl), C2-11 alkanoyl, C7-15 aroyl, C1-10 alkoxycarbonyl, C6-14 aryloxycarbonyl, C1-10 alkylsulfonyl, —CONRXbRYb (wherein RXb and RYb may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group or C7-16 aralkyl, or RXb and RYb are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl), —SO2NRXcRYc (wherein RXc and RYc may be the same or different, and each represents a hydrogen atom or C1-10 alkyl, or RXc and RYc are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl), and the like. Examples of the substituents of the optionally substituted aliphatic heterocyclic group, which may be the same or different and in number of, for example, 1 to 3, include substituents selected from the group comprising oxo, halogen, hydroxy, nitro, cyano, carboxy, sulfamoyl, C1-10 alkyl which may be substituted with hydroxy, trifluoromethyl, C3-8 cycloalkyl, C6-14 aryl, an aliphatic heterocyclic group, an aromatic heterocyclic group, C1-10 alkoxy, C3-8 cycloalkoxy, C6-14 aryloxy, C7-16 aralkyloxy, C2-11 alkanoyloxy, C7-15 aroyloxy, C1-10 alkylsulfanyl, —NRXdRYd (wherein RXd and RYd may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group, C7-16 aralkyl, C2-11 alkanoyl, C7-15 aroyl, C1-10 alkoxycarbonyl or C7-16 aralkyloxycarbonyl, or RXd and RYd are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl), C2-11 alkanoyl, C7-15 aroyl, C1-10 alkoxycarbonyl, C6-14 aryloxycarbonyl, —CONRXeRYe (wherein RXe and RYe may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group or C7-16 aralkyl, or RXe and RYe are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl), C1-10 alkylsulfonyl, —SO2NRXfRYf (wherein RXf and RYf may be the same or different, and each represents a hydrogen atom or C1-10 alkyl, or RXf and RYf are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl), and the like. Examples of the C1-10 alkyl and the C1-10 alkyl moieties of the C1-10 alkoxy, the C2-11 alkanoyloxy, the C1-10 alkylsulfanyl, the C2-11 alkanoyl, the C1-10 alkylsulfonyl and the C1-10 alkoxycarbonyl shown here include the groups exemplified as the lower alkyl described above. Examples of the C3-8 cycloalkyl and the cycloalkyl moiety of the C3-8cycloalkoxy include cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, cyclooctyl, and the like. Examples of the C6-14 aryl and the aryl moieties of the C6-14 aryloxy, the C7-15 aroyl, the C7-15 aroyloxy and the C6-14 aryloxycarbonyl include the groups exemplified as the aryl described above. Examples of the aryl moieties of the C7-16 aralkyloxy, the C7-16 aralkyl and the C7-16 aralkyloxycarbonyl include the groups exemplified as the aryl described above, and examples of the alkyl moieties thereof include C1-10 alkylene, and more specifically include groups in which one hydrogen atom is removed from the groups exemplified as the lower alkyl described above. The aliphatic heterocyclic group, the aromatic heterocyclic group and the halogen have the same definitions as described above, respectively. Examples of the nitrogen-containing heterocyclic group formed together with the adjacent nitrogen atom include a 5- or 6-membered monocyclic heterocyclic group having at least one nitrogen atom (the monocyclic heterocyclic group may contain another nitrogen atom, an oxygen atom or a sulfur atom), a bicyclic or tricyclic fused-ring heterocyclic group in which 3- to 8-membered rings are fused and at least one nitrogen atom is contained (the fused-ring heterocyclic group may contain another nitrogen atom, an oxygen atom or a sulfur atom), and the like, and more specifically include aziridinyl, azetidinyl, pyrrolidinyl, piperidino, azepanyl, pyrrolyl, imidazolidinyl, imidazolyl, pyrazolidinyl, pyrazolinyl, pyrazolyl, piperazinyl, homopiperazinyl, oxazolidinyl, 2H-oxazolyl, thioxazolidinyl, 2H-thioxazolyl, morpholino, thiomorpholinyl, dihydroindolyl, dihydroisoindolyl, indolyl, isoindolyl, tetrahydroquinolyl, tetrahydroisoquinolyl, dihydrobenzooxazolyl, dihydrobenzothioxazolyl, benzoimidazolidinyl, benzoimidazolyl, dihydroindazolyl, indazolyl, benzotriazolyl, pyrrolopyridinyl, pyrrolopyrimidinyl, imidazopyridinyl, purinyl, and the like. The pharmaceutically acceptable salts of Compounds (IA) and (I) include, for example, pharmaceutically acceptable acid addition salts, metal salts, ammonium salts, organic amine addition salts, amino acid addition salts, and the like. Examples of the pharmaceutically acceptable acid addition salts of Compounds (IA) and (I) include inorganic acid salts such as hydrochlorides, hydrobromides, nitrates, sulfates, phosphates and the like, organic acid salts such as acetates, oxalates, maleates, fumarates, citrates, benzoates, methanesulfonates and the like. Examples of the pharmaceutically acceptable metal salts include alkali metal salts such as sodium salts, potassium salts and the like, alkaline earth metal salts such as magnesium salts, calcium salts, aluminum salts, zinc salts, and the like. Examples of the pharmaceutically acceptable ammonium salts include salts of ammonium, tetramethylammonium, and the like. Examples of the pharmaceutically acceptable organic amine addition salts include addition salts of morpholine, piperidine, and the like. Examples of the pharmaceutically acceptable amino acid addition salts include addition salts of lysine, glycine, phenylalanine, aspartic acid, glutamic acid, and the like. Next, production processes for Compounds (IA) and (I) will be explained. Incidentally, in the production processes shown below, when a defined group changes under the conditions of the production processes or is inappropriate for performing the production processes, a target compound can be produced by using the methods for introducing and removing a protective group conventionally used in the organic synthetic chemistry [for example, Protective Groups in Organic Synthesis, third edition, written by T. W. Greene, John Wiley & Sons, Inc. (1999), and the like] and the like. Also, if necessary, it is possible to change the order of the reaction steps of introducing a substituent and the like. Compounds (IA) and (I) can be produced according to, for example, the following steps. Production Process 1 Among Compounds (I), Compound (I-a) in which Y1 is CH2, Y2 is N, and L is CH2 can be produced according to, for example, the following steps. (wherein, P1 represents a protective group for a nitrogen atom conventionally used in the organic synthetic chemistry, for example, methoxycarbonyl, ethoxycarbonyl, tert-butoxycarbonyl, 9-fluorenylmethoxycarbonyl, 2,2,2-trichloroethoxycarbonyl, vinyloxycarbonyl, allyloxycarbonyl, or the like, X5 represents a chlorine atom, a bromine atom, an iodine atom, methanesulfonyloxy, trifluoromethanesulfonyloxy, benzenesulfonyloxy, p-toluenesulfonyloxy, or the like, and X1, X2, X3, X4, R1, R2, R3, n1, n2 and n3 have the same definitions as described above, respectively) Step 1 Compound (a-1) can be produced by, for example, a modified method of the method for removing a protective group described in Protective Groups in Organic Synthesis, written by T. W. Greene, John Wiley & Sons, Inc. (1981), and the like. For example, in the case where P1 is tert-butoxycarbonyl, Compound (a-1) can be produced by treating Compound (A-0), for example, without solvent or in a solvent with 1 equivalent to a large excess amount of an acid at a temperature between −30° C. and 100° C. for 5 minutes to 72 hours. Examples of the acid include hydrochloric acid, sulfuric acid, trifluoroacetic acid, methanesulfonic acid, and the like. Examples of the solvent include methanol, ethanol, 1-propanol, 2-propanol, tetrahydrofuran (THF), 1,4-dioxane, 1,2-dimethoxyethane (DME), toluene, ethyl acetate, dichloromethane, 1,2-dichloroethane, water, and the like, and these are used alone or in admixture. Compound (A-0) can be produced according to the below-mentioned steps. Step 2 Compound (I-a) can be produced by reacting Compound (a-1) with preferably 1 to 10 equivalents of Compound (a-2) without solvent or in a solvent, and if necessary, in the presence of preferably 1 to 10 equivalents of a base at a temperature between −20° C. and 150° C. for 5 minutes to 72 hours. Examples of the base include potassium carbonate, potassium hydroxide, sodium hydroxide, sodium methoxide, sodium hydride, potassium tert-butoxide, triethylamine, diisopropylethylamine, N-methylmorpholine, pyridine, 1,8-diazabicyclo[5.4.0]-7-undecene (DBU), and the like. Examples of the solvent include methanol, ethanol, 2-propanol, dichloromethane, chloroform, 1,2-dichloroethane, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, N,N-dimethylformamide (DMF), N,N-dimethylacetamide (DMA), N-methylpyrrolidone (NMP), pyridine, water, and the like, and these are used alone or in admixture. Compound (a-2) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza (Encyclopedia of Experimental Chemistry), 5th Ed., vol. 13, p. 341, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Compound (I-a) can be produced by treating Compound (a-1) with preferably 1 to 10 equivalents of Compound (a-3) in a solvent, in the presence of preferably 1 to 10 equivalents of a condensing agent, and if necessary, in the presence of preferably 1 to 10 equivalents of a base at a temperature between −20° C. and 150° C. for 5 minutes to 72 hours. Compound (a-3) is obtained as a commercially available product or can be obtained by a known method (for example, Journal of Medicinal Chemistry, 2010, 53, 8089, or the like) or a modified method thereof. Examples of the condensing agent include benzotriazol-1-yloxytris(dimethylamino)phosphonium hexafluorophosphate (BOP), benzotriazol-1-yloxytris(pyrrolidino)phosphonium hexafluorophosphate (PyBOP), bromotris(pyrrolidino)phosphonium hexafluorophosphate (PyBroP), and the like, and preferably include BOP and the like. Examples of the base include triethylamine, N,N-diisopropylethylamine, DBU, 1,5-diazabicyclo[4.3.0]non-5-ene (DBN), N-methylpiperidine, N-methylphorpholine, and the like, and preferably include DBU and the like. Examples of the solvent include methanol, ethanol, dichloromethane, chloroform, 1,2-dichloroethane, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, DMF, DMA, NMP, water, and the like, and these are used alone or in admixture. Compound (A-0) to be used in the above production process 1 can be produced according to the following steps. Among Compounds (A-0), Compound (A-1), in which n1 is 1, and Compound (A-2), in which n1 is 0 and R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group, can be produced according to, for example, the following steps. (wherein, R1A represents optionally substituted aryl or an optionally substituted aromatic heterocyclic group in the definition of R1, X5A and each represents a chlorine atom, an iodine atom, methanesulfonyloxy, trifluoromethanesulfonyloxy, benzenesulfonyloxy, p-toluenesulfonyloxy, or the like, X6 represents a chlorine atom, a bromine atom, an iodine atom, methanesulfonyloxy, trifluoromethanesulfonyloxy, benzenesulfonyloxy, p-toluenesulfonyloxy, B(ORB1)(ORB2) (wherein RB1 and RB2 may be the same or different, and each represents a hydrogen atom, C1-6 alkyl, or the like, or RB1 and RB2 are combined to represent C1-6 alkylene or the like), or the like, and X1, X2, X3, X4, R2, P1, n2 and n3 have the same definitions as described above, respectively) Step 3 Compound (a-6) can be produced by reacting Compound (a-4) with preferably 1 to 10 equivalents of Compound (a-5) in a solvent, in the presence of preferably 1 to 10 equivalents of a reducing agent and preferably 1 to 10 equivalents of an acid at a temperature between −20° C. and 150° C. for 5 minutes to 72 hours. Examples of the reducing agent include sodium triacetoxyborohydride, sodium cyanoborohydride, and the like. Examples of the acid include hydrochloric acid, sulfuric acid, formic acid, acetic acid, trifluoroacetic acid, p-toluenesulfonic acid, titanium tetrachloride, and the like. Examples of the solvent include methanol, ethanol, dichloromethane, chloroform, 1,2-dichloroethane, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, DMF, DMA, NMP, water, and the like, and these are used alone or in admixture. Compound (a-5) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 14, p. 351, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Compound (a-4) can be obtained as a commercially available product. Step 4 Compound (a-7) can be produced by treating Compound (a-6) in a solvent in the presence of 1 to 30 equivalents of an additive at a temperature between −20° C. and the boiling point of the solvent to be used for 5 minutes to 72 hours, or by treating Compound (a-6) under a hydrogen atmosphere or in the presence of a hydrogen source in the presence of a catalyst at a temperature between −20° C. and the boiling point of the solvent to be used at normal pressure or under increased pressure for 5 minutes to 72 hours. Examples of the additive include reduced iron, tin(II) chloride, and the like. Examples of the catalyst include palladium on carbon, palladium, palladium hydroxide, palladium acetate, palladium black, and the like, and these are used in an amount of preferably 0.01 to 50 weight % with respect to Compound (a-6). Examples of the hydrogen source include formic acid, ammonium formate, sodium formate, cyclohexadiene, hydrazine, and the like, and these are used in an amount of preferably 2 equivalents to a large excess amount with respect to Compound (a-6). Examples of the solvent include methanol, ethanol, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, DMF, DMA, NMP, acetic acid, water, and the like, and these are used alone or in admixture. Step 5 Compound (a-8) can be produced by reacting Compound (a-7) in a solvent in the presence of preferably 1 to 10 equivalents of phosgene or 1,1-carbonyldiimidazole, and if necessary, in the presence of preferably 1 to 10 equivalents of a base at a temperature between −20° C. and the boiling point of the solvent to be used for 5 minutes to 72 hours. Examples of the base include potassium carbonate, potassium hydroxide, sodium hydroxide, sodium methoxide, sodium hydride, potassium tert-butoxide, triethylamine, diisopropylethylamine, DBU, and the like. Examples of the solvent include dichloromethane, chloroform, 1,2-dichloroethane, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DMF, NMP, pyridine, and the like, and these are used alone or in admixture. Step 6 Compound (A-1) can be obtained in the same manner as in the above-mentioned Step 2 using Compound (a-8) and preferably 1 to 10 equivalents of Compound (a-9). Compound (a-9) can be obtained as a commercially available product. Step 7 Compound (A-2) can be produced by reacting Compound (a-8) with 1 to 10 equivalents of Compound (a-10) in a solvent in the presence of a catalytic amount to 10 equivalents of a copper catalyst or a palladium catalyst at a temperature between room temperature and 140° C. for 5 minutes to 72 hours. The reaction can also be performed in the presence of a catalytic amount to 10 equivalents of a base, and can also be performed in the presence of a catalytic amount to 10 equivalents of an organophosphorus compound. Examples of the copper catalyst include copper(0), copper(I) iodide, copper(II) iodide, copper(II) acetate, copper(II) oxide, copper(I) chloride, di-μ-hydroxo-bis[(N,N,N′,N′-tetramethylethylenediamine)copper(II)] chloride, and the like, and preferably include copper(I) iodide, copper(II) acetate, and the like. Examples of the palladium catalyst include palladium(II) acetate, bis(triphenylphosphine)palladium(II) chloride, tetrakis(triphenylphosphine)palladium(0), [1,2-bis(diphenylphosphino)ethane]palladium(II) chloride, [1,1′-bis(diphenylphosphino)ferrocene]palladium(II) chloride, Tris(dibenzylideneacetone)dipalladium(0), and the like, and preferably include palladium(II) acetate, bis(triphenylphosphine)palladium(II) chloride, tetrakis(triphenylphosphine)palladium(0), Tris(dibenzylideneacetone)dipalladium(0), and the like. Examples of the base include potassium carbonate, cesium carbonate, lithium chloride, potassium chloride, potassium tert-butoxide, sodium tert-butoxide, triethylamine, potassium acetate, sodium ethoxide, sodium carbonate, sodium hydroxide, potassium phosphate, ethylenediamine, glycine, N-methylpyrrolidine, pyridine, 1,2-diaminocyclohexane, and the like, and preferably include potassium carbonate, cesium carbonate, potassium tert-butoxide, potassium phosphate, ethylenediamine, 1,2-diaminocyclohexane, triethylamine, and the like. Examples of the organophosphorus compound include triphenylphosphine, tri(2-furyl)phosphine, 2-dicyclohexylphosphino-2′-(N,N-dimethylamino)biphenyl, diphenylphosphinoferrocene, 2-dicyclohexylphosphino-2′4′6′-triisopropylbiphenyl (XPhos), and the like, and preferably include 2-dicyclohexylphosphino-2′-(N,N-dimethylamino)biphenyl, XPhos, and the like. Examples of the solvent include diethyl ether, THF, 1,4-dioxane, DMF, DMA, dimethyl sulfoxide (DMSO), benzene, toluene, xylene, dichloromethane, chloroform, carbon tetrachloride, 1,2-dichloroethane, acetonitrile, ethyl acetate, methyl acetate, methyl ethyl ketone, methanol, ethanol, propanol, 2-propanol, butanol, hexane, and the like, and preferably include THF, 1,4-dioxane, DMF, and the like. Compound (a-10) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 13, p. 341, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Among Compounds (A-0), Compound (A-3) in which n1 is 0 and R1 is an optionally substituted aliphatic heterocyclic group can be produced according to, for example, the following steps. (wherein, R1B represents an optionally substituted aliphatic heterocyclic group in the definition of R1, and X1, X2, X3, X4, R2, P1, n2 and n3 have the same definitions as described above, respectively) Step 8 Compound (a-12) can be produced in the same manner as in the above-mentioned Step 3 using Compound (a-7) obtained in Step 4 and Compound (a-11). Compound (a-11) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 15, p. 154, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Step 9 Compound (A-3) can be produced in the same manner as in the above-mentioned Step 5 using Compound (a-12). Production Process 2 Among Compounds (I), Compound (I-b) and Compound (I-c), in which R2 is a hydrogen atom, Y1 is CH2, Y2 is CH, and L is NH, and (i) n1 is 1 (Compound (I-b)) or (ii) n1 is 0 and R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group (Compound (I-c)), can be produced according to, for example, the following steps. (wherein, P1A represents a protective group which can be removed with an acid among the groups represented by P1, for example, tert-butoxycarbonyl or the like, P2 represents a protective group for a nitrogen atom conventionally used in the organic synthetic chemistry, for example, acyl such as formyl, acetyl, monochloroacetyl, trifluoroacetyl, trichloroacetyl, benzoyl, or the like, and X1, X2, X3, X4, X5, X5A, X6, R1, R1A, R3, n2 and n3 have the same definitions as described above, respectively) Step 10 Compound (a-15) can be produced in the same manner as in the above-mentioned Step 3 using Compound (a-13) and Compound (a-14). Compound (a-13) is obtained as a commercially available product or can be obtained by a known method (for example, WO2004/98589 or the like) or a modified method thereof. Compound (a-14) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 15, p. 153, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Step 11 Compound (a-16) can be produced by, for example, a modified method of the method for introducing a protective group described in Protective Groups in Organic Synthesis, written by T. W. Greene, John Wiley & Sons, Inc. (1981), or the like using Compound (a-15). For example, in the case where P2 is trifluoroacetyl, Compound (a-16) can be produced by reacting Compound (a-15) with preferably 1 to 10 equivalents of trifluoroacetic anhydride without solvent or in a solvent in the presence of preferably 1 to 10 equivalents of a base at a temperature between −78° C. and 150° C. for 5 minutes to 72 hours. Examples of the base include triethylamine, N,N-diisopropylethylamine, pyridine, N-methylpiperidine, N-methylmorpholine, and the like. Examples of the solvent include methanol, ethanol, dichloromethane, chloroform, 1,2-dichloroethane, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, DMF, DMA, NMP, water, and the like, and these are used alone or in admixture. Step 12 Compound (a-17) can be obtained in the same manner as in the above-mentioned Step 1 using Compound (a-16). Step 13 Compound (a-18) can be produced in the same manner as in the above-mentioned Step 2 using Compound (a-17) and Compound (a-2). Step 14 Compound (a-19) can be produced by, for example, a modified method of the method for removing a protective group described in Protective Groups in Organic Synthesis, written by T. W. Greene, John Wiley & Sons, Inc. (1981), or the like. For example, in the case where P2 is trifluoroacetyl, Compound (a-19) can be produced by treating Compound (a-18) in a solvent containing water with preferably 1 equivalent to a large excess amount of a base at a temperature between −30° C. and the boiling point of the solvent to be used for 5 minutes to 72 hours. Examples of the base include sodium hydroxide, potassium hydroxide, lithium hydroxide, barium hydroxide, sodium carbonate, potassium carbonate, and the like. Examples of the solvent include methanol, ethanol, propanol, THF, 1,4-dioxane, DME, toluene, dichloromethane, DMF, water, and the like, and these are used alone or in admixture. Step 15 Compounds (I-b) and (I-c) can be obtained in the same manner as in Step 6 or Step 7 using Compound (a-19), and Compound (a-9) or Compound (a-10). Production Process 3 Among Compounds (I), Compound (I-d) and Compound (I-e), in which Y1 is C(═O), Y2 is N, and L is CH2, and (i) n1 is 1 (Compound (I-d)) or (ii) n1 is 0 and R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group (Compound (I-e)), can be produced according to, for example, the following steps. (wherein, X7 represents a chlorine atom, a bromine atom, an iodine atom, or the like, RP represents C1-10 alkyl, C7-16 aralkyl, or the like, and X1, X2, X3, X4, X5, X5A, X6, R1, R1A, R2, R3, P1, n2 and n3 have the same definitions as described above, respectively) Step 16 Compound (a-21) can be produced by reacting Compound (a-20) with preferably 1 to 10 equivalents of Compound (a-5) in a solvent in the presence of preferably 1 to 10 equivalents of a base at a temperature between −20° C. and 150° C. for 5 minutes to 72 hours. Examples of the base include sodium hydroxide, potassium hydroxide, lithium hydroxide, sodium carbonate, potassium carbonate, triethylamine, diisopropylethylamine, N-methylmorpholine, pyridine, DBU, and the like. Examples of the solvent include methanol, ethanol, propanol, THF, 1,4-dioxane, DME, ethyl acetate, toluene, dichloromethane, DMF, water, and the like, and these are used alone or in admixture. Compound (a-20) can be obtained as a commercially available product. Step 17 Compound (a-23) can be produced by reacting Compound (a-21) with preferably 1 to 10 equivalents of Compound (a-22) in a solvent in the presence of preferably 1 to 10 equivalents of a base at a temperature between −20° C. and 150° C. for 5 minutes to 72 hours. Examples of the base include sodium hydroxide, potassium hydroxide, lithium hydroxide, sodium carbonate, potassium carbonate, triethylamine, diisopropylethylamine, N-methylmorpholine, pyridine, 4-dimethylaminopyridine, DBU, and the like. Examples of the solvent include methanol, ethanol, propanol, THF, 1,4-dioxane, DME, ethyl acetate, toluene, dichloromethane, 1,2-dichloroethane, DMF, water, and the like, and these are used alone or in admixture. Compound (a-22) can be obtained as a commercially available product. Step 18 Compound (a-24) can be produced by treating Compound (a-23) in a solvent in the presence of preferably 1 to 10 equivalents of a base at a temperature between −20° C. and the boiling point of the solvent to be used for 5 minutes to 72 hours. Examples of the base include sodium hydroxide, potassium hydroxide, lithium hydroxide, sodium carbonate, potassium carbonate, triethylamine, diisopropylethylamine, N-methylmorpholine, pyridine, 4-dimethylaminopyridine, DBU, and the like. Examples of the solvent include methanol, ethanol, propanol, THF, 1,4-dioxane, DME, ethyl acetate, toluene, dichloromethane, 1,2-dichloroethane, DMF, water, and the like, and these are used alone or in admixture. Step 19 Compound (a-25) can be produced in the same manner as in the above-mentioned Step 1 using Compound (a-24). Step 20 Compound (a-26) can be produced in the same manner as in the above-mentioned Step 2 using Compound (a-25) and Compound (a-2). Step 21 Compound (I-d) and Compound (I-e) can be produced in the same manner as in the above-mentioned Step 6 or Step 7 using Compound (a-26), and Compound (a-9) or Compound (a-10). Production Process 4 Among Compounds (I), Compound (I-f), in which R3 is an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group, and the group is an aromatic heterocyclic group substituted with —NR8R9 (wherein R8 and R9 may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group or C7-16 aralkyl, or R8 and R9 are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl) (the aromatic heterocyclic group may further has another substituent) or an aliphatic heterocyclic group substituted with —NR8R9 (wherein R8 and R9 have the same definitions as described above, respectively) (the aliphatic heterocyclic group may further has another substituent), can also be produced according to, for example, the following method. (wherein, X5B represents a chlorine atom, a bromine atom, an iodine atom, methanesulfonyloxy, trifluoromethanesulfonyloxy, benzenesulfonyloxy, p-toluenesulfonyloxy, or the like, the ring A represents an aromatic heterocyclic group moiety of an optionally substituted aromatic heterocyclic group (the aromatic heterocyclic group moiety may further has a substituent) or an aliphatic heterocyclic group moiety of an optionally substituted aliphatic heterocyclic group (the aliphatic heterocyclic group moiety may further has a substituent) in the definition of R3, and X1, X2, X3, X4, X5, R1, R2, R8, R9, n1, n2 and n3 have the same definitions as described above, respectively) Step 22 Compound (a-28) can be produced in the same manner as in the above-mentioned Step 2 using Compound (a-1) and Compound (a-27). Compound (a-27) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 13, p. 341, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Step 23 Compound (I-f) can be produced by reacting Compound (a-28) with 1 to 10 equivalents of Compound (a-29) in a solvent in the presence of a catalytic amount to 10 equivalents of a palladium catalyst at a temperature between room temperature and 140° C. for 5 minutes to 72 hours. The reaction can also be performed in the presence of a catalytic amount to 10 equivalents of a base, and can also be performed in the presence of a catalytic amount to 10 equivalents of an organophosphorus compound. Examples of the palladium catalyst include palladium(II) acetate, bis(triphenylphosphine)palladium(II) chloride, tetrakis(triphenylphosphine)palladium(0), [1,2-bis(diphenylphosphino)ethane]palladium(II) chloride, [1,1′-bis(diphenylphosphino)ferrocene]palladium(II) chloride, Tris(dibenzylideneacetone)dipalladium(0), and the like, and preferably include palladium(II) acetate, bis(triphenylphosphine)palladium(II) chloride, tetrakis(triphenylphosphine)palladium(0), Tris(dibenzylideneacetone)dipalladium(0), and the like. Examples of the base include potassium carbonate, cesium carbonate, lithium chloride, potassium chloride, potassium tert-butoxide, sodium tert-butoxide, triethylamine, potassium acetate, sodium ethoxide, sodium carbonate, sodium hydroxide, potassium phosphate, ethylenediamine, glycine, N-methylpyrrolidine, pyridine, 1,2-diaminocyclohexane, and the like, and preferably include potassium carbonate, cesium carbonate, potassium tert-butoxide, potassium phosphate, triethylamine, and the like. Examples of the organophosphorus compound include triphenylphosphine, tri(2-furyl)phosphine, 2-dicyclohexylphosphino-2′-(N,N-dimethylamino)biphenyl, diphenylphosphinoferrocene, XPhos, and the like, and preferably include 2-dicyclohexylphosphino-2′-(N,N-dimethylamino)biphenyl, XPhos, and the like. Examples of the solvent include diethyl ether, THF, 1,4-dioxane, DMF, DMA, DMSO, benzene, toluene, xylene, dichloromethane, chloroform, carbon tetrachloride, 1,2-dichloroethane, acetonitrile, ethyl acetate, methyl acetate, methyl ethyl ketone, methanol, ethanol, propanol, 2-propanol, butanol, hexane, and the like, and preferably include THF, 1,4-dioxane, DMF, and the like. Compound (a-29) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 14, p. 351, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Production Process 5 Among Compounds (I), Compound (I-g) and Compound (I-h), in which R3 is an optionally substituted aromatic heterocyclic group or an optionally substituted aliphatic heterocyclic group, and the group is an aromatic heterocyclic group substituted with carboxy or —CONR8′R9′ (wherein R8′ and R9′ may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group or C7-16 aralkyl, or R8′ and R9′ are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group which may be substituted with C1-10 alkyl) (the aromatic heterocyclic group may further has another substituent) or an aliphatic heterocyclic group substituted with carboxy or —CONR8′R9′ (wherein R8′ and R9′ have the same definitions as described above, respectively) (the aliphatic heterocyclic group may further has another substituent), can also be produced according to, for example, the following method. (wherein, RP′ represents C1-10 alkyl, C7-16 aralkyl, or the like, and the ring A, X1, X2, X3, X4, R1, R2, R8′, R9′, n1, n2 and n3 have the same definitions as described above, respectively) Step 24 Compound (a-30) can be produced by reacting Compound (a-28) in a solvent under a carbon monoxide atmosphere in the presence of preferably 1 equivalent to a large excess amount of RP′OH (wherein RP′ has the same definition as described above) and preferably 1 to 100 mol % of a palladium catalyst, and if necessary, in the presence of preferably 1 to 100 mol % of an organophosphorus compound and/or preferably 1 to 10 equivalents of a base at a temperature between −20° C. and the boiling point of the solvent to be used at normal pressure or under increased pressure for 5 minutes to 72 hours. Examples of the base include potassium carbonate, potassium phosphate, potassium hydroxide, triethylamine, diisopropylethylamine, N-methylmorpholine, pyridine, DBU, potassium acetate, sodium acetate, and the like. Examples of the palladium catalyst include palladium acetate, tetrakis(triphenylphosphine)palladium, and the like. Examples of the organophosphorus compound include triphenylphosphine, 1,1′-bis(diphenylphosphino)ferrocene, 1,3-bis(diphenylphosphino)propane, and the like. Examples of the solvent include dichloromethane, chloroform, 1,2-dichloroethane, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, DMF, DMA, NMP, water, and the like, and these are used alone or in admixture. Step 25 Compound (I-g) can be produced by, for example, a modified method of the method for removing a protective group described in Protective Groups in Organic Synthesis, third edition, written by T. W. Greene, John Wiley & Sons, Inc. (1999), or the like using Compound (a-30). For example, in the case where RP′ is methyl, ethyl or n-propyl, Compound (I-g) can be produced by treating Compound (a-30) in a solvent containing water with preferably 1 equivalent to a large excess amount of a base at a temperature between 0° C. and the boiling point of the solvent to be used for 5 minutes to 72 hours. Examples of the base include sodium hydroxide, potassium hydroxide, lithium hydroxide, and the like. Examples of the solvent include methanol, ethanol, propanol, THF, 1,4-dioxane, DME, toluene, dichloromethane, DMF, water, and the like, and these are used alone or in admixture. Further, for example, in the case where RP′ is tert-butyl, Compound (I-g) can be produced by treating Compound (a-30) without solvent or in a solvent with 1 equivalent to a large excess amount of an acid at a temperature between −30° C. and 100° C. for 5 minutes to 72 hours. Examples of the acid include hydrochloric acid, sulfuric acid, trifluoroacetic acid, methanesulfonic acid, and the like. Examples of the solvent include methanol, ethanol, propanol, THF, 1,4-dioxane, DME, toluene, ethyl acetate, dichloromethane, DMF, water, and the like, and these are used alone or in admixture. Step 26 Compound (I-h) can be produced by reacting Compound (I-g) with preferably 1 to 30 equivalents of Compound (a-29) without solvent or in a solvent, in the presence of preferably 1 to 30 equivalents of a condensing agent, and if necessary, in the presence of preferably 1 to 30 equivalents of an additive at a temperature between −30° C. and 150° C. for 5 minutes to 72 hours. Examples of the condensing agent include dicyclohexylcarbodiimide (DCC), diisopropylcarbodiimide, N-(3-dimethylaminopropyl)-N′-ethylcarbodiimide (EDC), EDC hydrochloride, O-(7-aza-1H-benzotriazol-1-yl)-N,N,N′,N′-tetramethyluronium hexafluorophosphate (HATU), and the like. Examples of the additive include 1-hydroxybenzotriazole monohydrate (HOBt.H2O), triethylamine, diisopropylethylamine, 4-dimethylaminopyridine (DMAP), and the like, and these are used alone or in admixture. Examples of the solvent include acetonitrile, dichloromethane, 1,2-dichloroethane, chloroform, DME, DMF, DMA, 1,4-dioxane, THF, diethyl ether, diisopropyl ether, benzene, toluene, xylene, pyridine, NMP, water, and the like, and these are used alone or in admixture. Production Process 6 Among Compounds (I), Compound (I-i) and Compound (I-j), in which R3 is an optionally substituted aromatic heterocyclic group, and the aromatic heterocyclic group is an aromatic heterocyclic group containing a nitrogen atom, and is a group in which oxo is attached to the nitrogen of the aromatic heterocyclic group (the group may further has another substituent), and (i) n1 is 1 (Compound (I-i)) or (ii) n1 is 0 and R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group (Compound (I-j)), can also be produced according to, for example, the following method. (wherein, the ring B represents an optionally substituted aromatic heterocyclic group, and the aromatic heterocyclic group is an aromatic heterocyclic group containing a nitrogen atom (the aromatic heterocyclic group moiety may further has a substituent) in the definition of R3, and X1, X2, X3, X4, X5, X5A, X6, P1, R1, R1A, R2, n2 and n3 have the same definitions as described above, respectively) Step 27 Compound (a-31) can be produced in the same manner as in the above-mentioned Step 1 using Compound (a-8). Step 28 Compound (a-33) can be produced in the same manner as in the above-mentioned Step 2 using Compound (a-31) and Compound (a-32). Compound (a-32) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 13, p. 341, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Step 29 Compound (a-34) can be produced by treating Compound (a-33) in a solvent with 1 equivalent to a large excess amount, preferably, 1 to 10 equivalents of an oxidizing agent at a temperature between 0° C. and the boiling point of the solvent to be used for 5 minutes to 72 hours. Examples of the solvent include dichloromethane, chloroform, 1,2-dichloroethane, THF, 1,4-dioxane, dimethoxyethane, diethyl ether, diisopropyl ether, methanol, ethanol, isopropyl alcohol, benzene, toluene, xylene, acetonitrile, ethyl acetate, water, and the like, and these are used alone or in admixture. Examples of the oxidizing agent include meta-chloroperoxybenzoic acid, benzoyl peroxide, peracetic acid, hydrogen peroxide, sodium periodate, oxone, and the like. Step 30 Compound (I-i) and Compound (I-j) can be produced in the same manner as in the above-mentioned Step 6 or Step 7 using Compound (a-34), and Compound (a-9) or Compound (a-10). Production Process 7 Among Compounds (I), Compound (I-k) in which R3 is represented by the following formula: can also be produced according to, for example, the following method. (wherein, X5C represents a chlorine atom, a bromine atom, an iodine atom, methanesulfonyloxy, trifluoromethanesulfonyloxy, benzenesulfonyloxy, p-toluenesulfonyloxy, or the like, and X1, X2, X3, X4, X5, R1, R2, n1, n2 and n3 have the same definitions as described above, respectively) Step 31 Compound (a-36) can be produced in the same manner as in the above-mentioned Step 2 using Compound (a-1) and Compound (a-35). Compound (a-35) is obtained as a commercially available product or can be obtained by a known method [for example, Patent Literature (US2009/286816) or the like] or a modified method thereof. Step 32 Compound (I-k) can be produced by treating Compound (a-36) in a solvent under a hydrogen atmosphere or in the presence of a hydrogen source in the presence of a catalyst and a base at a temperature between −20° C. and the boiling point of the solvent to be used at normal pressure or under increased pressure for 5 minutes to 72 hours. Examples of the catalyst include palladium on carbon, palladium, palladium hydroxide, palladium acetate, palladium black, and the like, and these are used in an amount of preferably 0.01 to 50% by weight with respect to Compound (a-36). Examples of the hydrogen source include formic acid, ammonium formate, sodium formate, cyclohexadiene, hydrazine, and the like, and these are used in an amount of preferably 2 equivalents to a large excess amount with respect to Compound (a-36). Examples of the base include triethylamine, diisopropylethylamine, pyridine, N-methylphorpholine, and the like, and these are used in an amount of preferably 1 to 30 equivalents with respect to Compound (a-36). Examples of the solvent include methanol, ethanol, toluene, ethyl acetate, acetonitrile, diethyl ether, THF, DME, 1,4-dioxane, DMF, DMA, NMP, acetic acid, water, and the like, and these are used alone or in admixture. Production Process 8 Among Compounds (I), Compound (I-1) and Compound (I-m), in which n1 is 0 and R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group, and the group is aryl substituted with carboxy or —CONR8″R9″ (wherein R8″ and R9″ may be the same or different, and each represents a hydrogen atom, C1-10 alkyl, C3-8 cycloalkyl, C6-14 aryl, an aromatic heterocyclic group or C7-16 aralkyl, or R8″ and R9″ are combined together with the adjacent nitrogen atom thereto to form a nitrogen-containing heterocyclic group) (the aryl may further has another substituent) or an aromatic heterocyclic group substituted with carboxy or —CONR8″R9″ (wherein R8″ and R9″ have the same definitions as described above, respectively) (the aromatic heterocyclic group may further has another substituent), can also be produced according to, for example, the following method. (wherein, X7 represents a chlorine atom, a bromine atom, an iodine atom, methanesulfonyloxy, trifluoromethanesulfonyloxy, benzenesulfonyloxy, p-toluenesulfonyloxy, or the like, the ring C represents an aryl moiety of optionally substituted aryl (the aryl moiety may further has a substituent) or an aromatic heterocyclic group moiety of an optionally substituted aromatic heterocyclic group (the aromatic heterocyclic group moiety may further has a substituent) in the definition of R1, and X1, X2, X3, X4, X5, X6, R2, R3, R8″, R9″, n2 and n3 have the same definitions as described above, respectively) Step 33 Compound (a-37) can be produced in the same manner as in the above-mentioned Step 2 using Compound (a-31) and Compound (a-2). Step 34 Compound (a-39) can be produced in the same manner as in the above-mentioned Step 7 using Compound (a-37) and Compound (a-38) Compound (a-38) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 13, p. 341, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Step 35 Compound (a-40) can be produced in the same manner as in the above-mentioned Step 24 using Compound (a-39). Step 36 Compound (I-1) can be produced in the same manner as in the above-mentioned Step 25 using Compound (a-40). Step 37 Compound (I-m) can be produced in the same manner as in the above-mentioned Step 26 using Compound (I-1) and Compound (a-29). Production Process 9 Among Compounds (I), Compound (I-n), in which n1 is 0 and R1 is optionally substituted aryl or an optionally substituted aromatic heterocyclic group, and the group is aryl substituted with —CONH2 (the aryl group may further has another substituent) or an aromatic heterocyclic group substituted with —CONH2 (the aromatic heterocyclic group may further has another substituent), can also be produced according to, for example, the following method. (wherein, the ring C, X1, X2, X3, X4, X6, R2, R3, n2 and n3 have the same definitions as described above, respectively) Step 38 Compound (a-42) can be produced in the same manner as in the above-mentioned Step 7 using Compound (a-37) and Compound (a-41). Compound (a-41) is obtained as a commercially available product or can be obtained by a known method [for example, Jikken Kagaku Koza, 5th Ed., vol. 13, p. 341, Maruzen Co., Ltd. (2003), or the like] or a modified method thereof. Step 39 Compound (I-n) can be produced in the same manner as in the above-mentioned Step 25 using Compound (a-42). Production Process 10 Compound (IA) can be produced according to the above-mentioned production process 1 to 11. Also, among Compounds (IA), a compound in which n1 is 0 and R1 is a hydrogen atom can be produced by the method described in Chemical & Pharmaceutical Bulletin 1990, 38(6), 1591 or a modified method thereof. The conversion of a functional group contained in R1A, R2A or R3A in Compound (IA) and in R1, R2 or R3 in Compound (I) can also be performed by a known method [for example, the method described in Comprehensive Organic Transformations 2nd edition, written by R. C. Larock, Vch Verlagsgesellschaft Mbh (1999), or the like] or a modified method thereof. The intermediates and the target compounds in the above-mentioned respective production processes can be isolated and purified by being subjected to a separation and purification method conventionally used in the organic synthetic chemistry, for example, filtration, extraction, washing, drying, concentration, recrystallization, various types of chromatography, or the like. Also, the intermediates can be subjected to the subsequent reaction without being particularly purified. Among Compounds (IA) and (I), some compounds may exist as a stereoisomer such as a geometric isomer or an optical isomer, a tautomer, or the like. The present invention encompasses all possible isomers and mixtures thereof including these isomers. Part or all of the respective atoms in Compounds (IA) and (I) may be replaced by corresponding isotope atom(s), respectively, and the present invention also comprises such compounds replaced by isotope atom(s). For example, part or all of the hydrogen atom(s) in Compounds (IA) and (I) may be hydrogen atom(s) having an atomic weight of 2 (deuterium atom(s)). Compounds in which part or all of the respective atom(s) in Compounds (IA) and (I) is/are replaced by corresponding isotope atom(s), respectively, can be produced in the same manner as in the above-mentioned respective production processes using commercially available building blocks. Also, the compounds in which part or all of the hydrogen atom(s) in Compounds (IA) and (I) is/are replaced by deuterium atom(s) can also be synthesized by, for example, 1) a method of deuterating a carboxylic acid and the like under basic conditions using deuterium peroxide (U.S. Pat. No. 3,849,458), 2) a method of deuterating an alcohol, a carboxylic acid, and the like using an iridium complex as a catalyst and also using heavy water as a deuterium source [Journal of the American Chemical Society 2002, 124(10), 2092], 3) a method of deuterating a fatty acid using palladium on carbon as a catalyst and also using only a deuterium gas as a deuterium source [LIPIDS 1974, 9(11), 913], 4) a method of deuterating acrylic acid, methyl acrylate, methacrylic acid, methyl methacrylate, and the like using a metal such as platinum, palladium, rhodium, ruthenium, iridium and the like as a catalyst and also using heavy water, or heavy water and a deuterium gas, as a deuterium source (JPH5-19536, JPS61-277648, and JPS61-275241), 5) a method of deuterating acrylic acid, methyl methacrylate, and the like using a catalyst such as palladium, nickel, copper, chromite copper and the like, and also using heavy water as a deuterium source (JPS63-198638), and the like. In the case where a salt of Compound (IA) or (I) is desired to be obtained, when Compound (IA) or (I) is obtained in the form of a salt, the salt may be directly purified. Or, when Compound (IA) or (I) is obtained in a free form, Compound (IA) or (I) is dissolved or suspended in a suitable solvent, and an acid or a base is added thereto to form a salt, and then, the salt may be isolated and purified. Further, Compounds (IA) and (I) and pharmaceutically acceptable salts thereof may exist in the form of adducts with water or any of various solvents, and the present invention also comprises these adducts. Specific examples of Compounds (IA) and (I) obtained according to the present invention are shown in Table 1 to Table 5. However, the compounds of the present invention are not limited thereto. TABLE 1 Com- pound No. R1 R3 R4 1 H 2 H 3 H 4 H 5 6 H 7 H 8 H 9 H 10 H 11 H 12 H 13 H 14 H 15 H 16 H TABLE 2 Com- pound No. 17 18 19 20 21 22 [Table 3] TABLE 3 Com- pound No. R3 Z2 23 N 24 N 25 CH 26 CH 27 N 28 N 29 CH 30 N 31 N 32 N 33 N 34 N 35 N 36 N 37 N 38 N TABLE 4 Compound No. R3 Z2 39 N 40 N 41 N 42 N 43 CH 44 CF 45 CF 46 CF 47 CF TABLE 5 Compound No. 48 49 50 51 52 53 Next, the pharmacological activity of representative Compound (I) will be specifically described by way of Test Examples. Test Example 1 Inhibitory Activity Against T-Cell Factor (TCF)-Luciferase Reporter Using Wnt Pathway as Index The inhibitory activity of test compounds against Wnt pathway was evaluated by the following method. A human colorectal adenocarcinoma cell line DLD-1 (Japanese Collection of Research Bioresources) was cultured in RPMI-1640 medium (Gibco/Life Technologies, Inc.) containing 10% fetal bovine serum (Gibco/Life Technologies, Inc.), 10 mmol/L of a 4-(2-hydroxyethyl)-1-piperazineethanesulfonic acid (HEPES) buffer solution (Gibco/Life Technologies, Inc.), 1 mmol/L of a sodium pyruvate solution (Gibco/Life Technologies, Inc.), 4.5 g/L of a D-(+)-glucose solution (Sigma-Aldrich, Inc.), 100 units/mL penicillin (Gibco/Life Technologies, Inc.) and 100 [g/mL of streptomycin (Gibco/Life Technologies, Inc.) under the conditions of 37° C. and 5% carbon dioxide gas. The DLD-1 cells were seeded in a 10 cm dish, and 20 g of a luciferase gene plasmid pGL4.27 having a TCF responsive sequence inserted therein was transfected into the cells using 10 μL of Attractene (Qiagen, Inc.) according to the protocol attached to the product. A stably expressing cell line (DLD-1/TCF-Luc) was selected using 600 μg/L of hygromycin B (Wako Pure Chemical Industries Ltd.). The DLD-1/TCF-Luc cells were detached with trypsin and seeded in a 384-well plate, and a test compound was added at different concentrations. After 18 hours, the luciferase activity was measured using Steady-Glo™ Luciferase Assay System (Promega, Inc.). The inhibition ratio was obtained according to the following formula 1. The inhibition ratio (%) of the compound of the present invention at 1 μmol/L against the TCF-luciferase reporter using the Wnt pathway as an index is shown in Table 6. [Math. 1] Inhibition ratio (%)=100−{(luciferase activity when test compound was added)−(luciferase activity of blank)}/{(luciferase activity of control)−(luciferase activity of blank)}×100 Formula 1 TABLE 6 Inhibition Inhibition Compound ratio (%) at Compound ratio (%) at No. 1 μmol/L No. 1 μmol/L 1 88 2 87 3 77 4 74 5 84 6 76 7 76 8 73 9 74 10 79 11 87 12 80 13 80 14 76 15 84 16 63 17 76 18 80 19 91 20 83 21 76 22 75 23 84 24 86 25 86 26 77 27 88 28 84 29 74 30 69 31 74 32 76 33 82 34 78 35 79 36 79 37 82 38 89 39 89 40 78 41 81 42 81 43 85 44 82 45 86 46 84 47 82 48 84 49 82 50 87 51 90 52 81 From the above results, it was shown that Compounds (IA) and (I) and pharmaceutically acceptable salts thereof inhibit Wnt signaling, and therefore are useful as a therapeutic and/or preventive agent for a disease associated with Wnt signaling, for example, cancer, pulmonary fibrosis, fibromatosis, osteoarthritis, and the like. Test Example 2 Tankyrase-2 Enzyme Inhibition Test The enzyme activity of tankyrase-2 was evaluated using Tankyrase-2 Chemiluminescent Assay Kit (BPS Bioscience, Inc., Catalog No. 80566). The Tankyrase-2 Chemiluminescent Assay Kit is a kit for evaluating the enzyme activity of tankyrase-2 using autoribosylation of glutathione-S-transferase (GST)-tankyrase-2 fusion protein as an index. All the experimental materials except for PBS (PBST) buffer containing phosphate-buffered saline (PBS) and 0.05% Tween 20 are all included in the kit. The GST-tankyrase-2 enzyme diluted with 50 μL of 1× tankyrase buffer was added to the wells of a 96-well plate coated with glutathione. After the plate was left to stand overnight at 4° C., the plate was washed 3 times with the PBST buffer. 150 μL of blocking buffer was added thereto, and the plate was left to stand at room temperature for 30 minutes to block the wells. The plate was washed 3 times with the PBST buffer. Before the ribosylation reaction, an assay mixture containing a biotinylated substrate and a test compound diluted with 1× tankyrase buffer were mixed, whereby a reaction mixture was prepared. In order to start the ribosylation reaction, 50 μL of the reaction mixture was added to the wells. In a blank well, 1× tankyrase buffer was added in place of the reaction mixture containing a biotinylated substrate. The plate was left to stand at 30° C. for 1 hour. After the reaction, the plate was washed 3 times with the PBST buffer. Streptavidin-horseradish peroxidase (HRP) was diluted to 50-fold with the blocking buffer. The diluted streptavidin-HRP was added to the wells, and the plate was left to stand at room temperature for 30 minutes. The plate was washed 3 times with the PBST buffer. Immediately before use, 50 μL of the HRP chemiluminescent substrate A and 50 μL of the HRP chemiluminescent substrate B were mixed and 100 μL of the resulting mixture was added to the wells. The chemiluminescence was measured using a chemiluminescence measuring apparatus. The inhibition ratio was obtained according to the following formula 2. The tankyrase-2 enzyme inhibitory activity of the compound of the present invention is shown in Table 7. [Math. 2] Inhibition ratio (%)=100−{(chemiluminescence intensity when test compound was added)−(chemiluminescence intensity of blank)}/{(chemiluminescence intensity of control)−(chemiluminescence intensity of blank)}×100 Formula 2 TABLE 7 Inhibition Inhibition Compound ratio (%) at Compound ratio (%) at No. 1 μmol/L No. 1 μmol/L 1 91 2 91 5 94 7 95 8 90 9 91 12 88 13 89 15 92 16 71 18 79 21 93 22 98 24 92 25 81 29 87 30 92 32 94 34 87 35 88 36 88 37 87 38 94 40 93 41 92 44 93 47 80 48 93 50 82 53 100 From the above results, it was shown that Compounds (IA) and (I) and pharmaceutically acceptable salts thereof inhibit the tankyrase-2 enzyme. That is, it was shown that Compounds (IA) and (I) and pharmaceutically acceptable salts thereof inhibit Wnt signaling by inhibiting tankyrase, and therefore are useful as a therapeutic and/or preventive agent for a disease associated with Wnt signaling, for example, cancer, pulmonary fibrosis, fibromatosis, osteoarthritis, and the like. Compounds (IA) and (I) and pharmaceutically acceptable salts thereof can be administered alone as they are, but are generally desirably provided as various pharmaceutical preparations. Also, such pharmaceutical preparations are used for animals and human beings. The pharmaceutical preparation according to the present invention can contain, as an active ingredient, Compound (IA) or (I) or a pharmaceutically acceptable salt thereof alone or as a mixture with an active ingredient for any other treatment. Also, such a pharmaceutical preparation is prepared by mixing the active ingredient with one or more pharmaceutically acceptable carriers (for example, a diluent, a solvent, an excipient, and the like) and then subjecting the mixture to any method well known in the technical field of drug formulation study. As the administration route, it is preferred to use the most effective route of administration in the treatment. Examples of the administration route include oral administration and parenteral administration such as intravenous administration or the like. Examples of the administration form include a tablet, an injection, and the like. A suitable administration form for the oral administration, for example, a tablet or the like can be prepared by using an excipient such as lactose and the like, a disintegrator such as starch and the like, a lubricant such as magnesium stearate and the like, a binder such as hydroxypropyl cellulose and the like, and the like. A suitable administration form for the parenteral administration, for example, an injection or the like can be prepared by using a diluent or a solvent such as a salt solution, a glucose solution, or a mixed solution of a salt solution and a glucose solution, and the like, and the like. The dose and the frequency of administration of Compound (IA) or (I) or a pharmaceutically acceptable salt thereof may vary depending on administration form, age and body weight of a patient, nature or seriousness of the symptom to be treated, and the like. However, in the oral administration, in general, a dose of 0.01 to 1000 mg, preferably, 0.05 to 100 mg, is administered to an adult patient once or several times a day. In the parenteral administration such as intravenous administration, a dose of 0.001 to 1000 mg, preferably, 0.01 to 100 mg, is administered to an adult patient once or several times a day. However, such dose and frequency of administration vary depending on the above-mentioned various conditions. Hereinafter, the present invention will be more specifically described by way of Examples and Reference Examples, however, the scope of the present invention is not limited to these Examples. Incidentally, the proton nuclear magnetic resonance spectrum (1H NMR) used in the Examples and Reference Examples was measured at 270 MHz, 300 MHz or 400 MHz, and exchangeable protons may not be clearly observed depending on the compound and measurement conditions. Incidentally, the multiplicity of signals is expressed in conventional terms, and the term “br” indicates an apparent broad signal. Also, each synthesized compound was named using ChemBioDraw Ultra ver. 12.0. Reference Example 1 3-(Piperidin-4-ylmethyl)-3,4-dihydroquinazolin-2(1H)-one hydrochloride (Compound R1) Step 1: After 2-nitrobenzaldehyde (5.60 g, 37.1 mmol) and tert-butyl 4-(aminomethyl)piperidine-1-carboxylate (8.00 g, 37.3 mmol) were stirred in methanol at room temperature for 1 hour, sodium cyanoborohydride (4.70 g, 74.8 mmol) was added thereto, and the resulting mixture was stirred overnight at room temperature. After the reaction mixture was concentrated under reduced pressure, water was added thereto, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby tert-butyl 4-[(2-nitrobenzylamino)methyl]-piperidine-1-carboxylate (5.00 g, yield: 40%) was obtained. Step 2: Tert-butyl 4-[(2-nitrobenzylamino)methyl]-piperidine-1-carboxylate (10.0 g, 28.6 mmol) obtained in Step 1 was dissolved in methanol (100 mL), and palladium-carbon (10.0 wt %, 1.00 g) was added thereto, and the resulting mixture was stirred under a hydrogen gas (atmospheric pressure) atmosphere at room temperature for 12 hours. After completion of the reaction, the reaction mixture was treated with diatomaceous earth, and then, the solvent was evaporated under reduced pressure, whereby tert-butyl 4-[(2-aminobenzylamino)methyl]-piperidine-1-carboxylate (8.00 g, yield: 88%) was obtained. Step 3: Tert-butyl 4-[(2-aminobenzylamino)methyl]-piperidine-1-carboxylate (10.6 g, 27.7 mmol) obtained in Step 2, N,N′-carbonyldiimidazole (11.2 g, 69.3 mmol) and triethylamine (8.11 mL, 58.2 mmol) were refluxed in acetonitrile (110 mL) for 2 hours. After the reaction mixture was cooled to room temperature, water was added thereto, and the deposited solid was collected by filtration, whereby tert-butyl 4-(2-oxo-1,4-dihydro-2H-quinazolin-3-ylmethyl)piperidine-1-carboxylate (8.63 g, yield: 93%) was obtained. ESI-MS m/z: 346 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 7.20-7.15 (m, 1H), 7.05-7.03 (m, 1H), 6.97-6.88 (m, 2H), 6.67 (d, J=7.2 Hz, 1H), 4.46 (s, 2H), 4.09 (brs, 2H), 3.31 (br s, 2H), 2.73-2.64 (m, 2H), 1.97-1.84 (m, 1H), 1.70-1.66 (m, 2H), 1.45 (s, 9H), 1.28-1.12 (m, 2H) Step 4: To tert-butyl 4-(2-oxo-1,4-dihydro-2H-quinazolin-3-ylmethyl)piperidine-1-carboxylate (13.0 g, 37.6 mmol) obtained in Step 3, a hydrochloric acid-dioxane solution (4.00 mol/L, 150 mL) was added in an ice bath, and the resulting mixture was stirred at room temperature for 4 hours. The reaction mixture was concentrated under reduced pressure, whereby the title Compound R1 (10.5 g, yield: 99%) was obtained. ESI-MS m/z: 246 (M+H)+ Reference Example 2 2-{[2-Oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1(2H)-yl]methyl}benzonitrile hydrochloride (Compound R2) Step 1: Tert-butyl 4-{[1-(2-cyanobenzyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (2.27 g, 85%) was obtained in the same manner as in Step 1 of Example 1 using tert-butyl 4-(2-oxo-1,4-dihydro-2H-quinazolin-3-ylmethyl)piperidine-1-carboxylate obtained in Step 3 of Reference Example 1 and 2-cyanobenzyl bromide. ESI-MS m/z: 461 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 7.68 (d, J=7.8 Hz, 1H), 7.49 (t, J=7.3 Hz, 1H), 7.33 (t, J=7.3 Hz, 1H), 7.22 (d, J=7.8 Hz, 1H), 7.14-7.08 (m, 2H), 6.97 (t, J=7.3 Hz, 1H), 6.55 (d, J=7.8 Hz, 1H), 5.33 (s, 2H), 4.51 (s, 2H), 4.14-4.09 (br m, 2H), 3.43-3.35 (br m, 2H), 2.74-2.67 (br m, 2H), 1.97-1.90 (m, 1H), 1.74-1.67 (m, 2H), 1.45 (s, 9H), 1.26-1.19 (m, 2H) Step 2: The title Compound R2 (1.79 g, 92%) was obtained in the same manner as in Step 4 of Reference Example 1 using tert-butyl 4-{[1-(2-cyanobenzyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 1. ESI-MS m/z: 361 (M+H)+ Reference Example 3 5-Iodo-2-oxo-1-{[2-(trimethylsilyl)ethoxy]methyl}-1,2-dihydropyridine-3-carbonitrile (Compound R3) Step 1: 2-Hydroxynicotinonitrile (1.00 g, 8.33 mmol), iodine (2.54 g, 9.99 mmol) and potassium carbonate (1.38 g, 9.99 mmol) were stirred overnight in DMF (10 mL) at room temperature. To the reaction mixture, water was added, and the resulting mixture was extracted with a chloroform/2-propanol mixed solvent. The organic layer was dried over anhydrous magnesium sulfate, and then concentrated under reduced pressure. To the resulting residue, (2-chloromethoxyethyl)trimethylsilane (SEM-Cl) (1.67 g, 10.0 mmol) and potassium hydroxide (560 mg, 10.0 mmol) were added, and the resulting mixture was stirred in THF (25.0 mL) at room temperature for 3 hours. To the reaction mixture, water was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (an ethyl acetate/heptane mixed solvent), whereby the title Compound R3 (372 mg, yield: 12%) was obtained. ESI-MS m/z: 377 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 7.89 (d, J=2.6 Hz, 1H), 7.86 (d, J=2.6 Hz, 1H), 5.31 (s, 2H), 3.64 (t, J=8.3 Hz, 2H), 0.95 (t, J=8.3 Hz, 2H), −0.01 (s, 9H) Reference Example 4 Tert-butyl 3-iodophenylsulfonyl{[2-(trimethylsilyl)ethoxy]methyl}carbamate (Compound R4) Step 1: 3-Iodobenzenesulfonamide (100 mg, 0.353 mmol), di-tert-butyl dicarbonate (Boc2O) (116 mg, 0.530 mmol), DMAP (8.63 mg, 0.071 mmol) and triethylamine (54.0 mg, 0,530 mmol) were stirred in dichloromethane (1.50 mL) at room temperature for 3 hours. To the reaction mixture, water was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a methanol/chloroform mixed solvent), whereby tert-butyl 3-iodophenylsulfonylcarbamate (100 mg, yield: 74%) was obtained. ESI-MS m/z: 384 (M+H)+ Step 2: The title Compound R4 (120 mg, yield: 90%) was obtained in the same manner as in Step 1 of Example 14 using tert-butyl 3-iodophenylsulfonylcarbamate obtained in Step 1. 1H-NMR (300 MHz, CDCl3, δ): 8.36-8.35 (m, 1H), 8.02-7.98 (m, 1H), 7.95-7.91 (m, 1H), 7.28-7.23 (m, 1H), 5.30 (s, 2H), 3.59-3.54 (m, 2H), 1.37 (s, 9H), 1.03-0.98 (m, 2H), 0.00 (s, 9H) ESI-MS m/z: 514 (M+H)+ Reference Example 5 Tert-butyl 4-oxo-3,4-dihydroquinazoline-7-carboxylate (Compound R5) 4-Tert-butyl-1-methyl-1-aminoterephthalic acid (55 mg, 0.22 mmol) obtained by the method described in Journal of Medicinal Chemistry 1999, 42, 545 and formamidine acetate (46 mg, 0.44 mmol) were refluxed overnight in ethanol (2.0 ml). After the solvent was evaporated under reduced pressure, the resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby the title Compound R5 (28 mg, yield: 51%) was obtained. ESI-MS m/z: 247 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.37 (s, 1H), 8.34 (d, J=8.4 Hz, 1H), 8.11-8.07 (m, 2H), 1.64 (s, 9H) Reference Example 6 4-Chloro-5H-pyrido[4,5-b][1,4]oxazin-6(7H)-one (Compound R6) Step 1: Methyl glycolate (488 mg, 5.4 mmol) was dissolved in DMF (20 mL), and in an ice bath, sodium hydride (about 60 wt %, 268 mg) was added thereto, and the resulting mixture was stirred for 30 minutes. Thereafter, a DMF solution (2.0 mL) of 4,6-dichloro-5-nitropyrimidine (1.0 g, 5.1 mmol) was added dropwise thereto, and the resulting mixture was stirred overnight at room temperature. To the reaction mixture, ice water was added, and then, the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate, and then, the solvent was evaporated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a hexane/ethyl acetate mixed solvent), whereby methyl 2-(6-chloro-5-nitropyrimidin-4-yloxy)acetate (584 mg, 46%) was obtained. 1H-NMR (400 MHz, CDCl3 δ): 8.62 (s, 1H), 5.08 (s, 2H), 3.80 (s, 3H) Step 2: Methyl 2-(6-chloro-5-nitropyrimidin-4-yloxy)acetate (0.58 g, 2.3 mmol) obtained in Step 1 and reduced iron (654 mg, 12 mmol) were heated in acetic acid (15 mL) at 80° C. for 6 hours. The reaction mixture was treated with diatomaceous earth, and a residue obtained by evaporating the solvent under reduced pressure was purified by silica gel column chromatography (a hexane/ethyl acetate mixed solvent), whereby the title Compound R6 (270 mg, yield: 62%) was obtained. 1H-NMR (300 MHz, DMSO-d6, δ): 11.0 (br s, 1H), 8.28 (s, 1H), 4.95 (s, 2H) Reference Example 7 8-Chloro-[1,2,4]triazolo[4,3-a]pyrazin-3(2H)-one (Compound R7) 2-Chloro-3-hydrazinylpyrazine (710 mg, 0.49 mmol) obtained by the method described in WO2008/130951 was dissolved in acetonitrile (12 mL), and 1,1′-carbonyldiimidazole (1.6 g, 9.8 mmol) was added thereto, and then, the resulting mixture was stirred at room temperature for 4 hours. To the reaction mixture, water was added, and the deposited solid was collected by filtration, whereby the title Compound R7 (117 mg, yield: 14%) was obtained. 1H-NMR (400 MHz, DMSO-d6, δ): 13.2 (br s, 1H), 7.93 (d, J=4.0 Hz, 1H), 7.34 (d, J=4.0 Hz, 1H) Reference Example 8 2,3-Difluoroisonicotinamide (Compound R8) 2,3-Difluoroisonicotinic acid (330 mg, 2.1 mmol), an ammonia-methanol solution (about 7.0 mol/L, 5.9 mL), O-(7-aza-1H-benzotriazol-1-yl)-N,N,N,N′,N′-tetramethyluronium hexafluorophosphate (1.6 g, 4.2 mmol) and diisopropylethylamine (1.4 mL) were stirred in DMF (3.0 mL) at room temperature for 5 hours. To the reaction mixture, water was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate. A residue obtained by concentrating the solvent under reduced pressure was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby the title Compound R8 (239 mg, yield: 73%) was obtained. 1H-NMR (400 MHz, CDCl3, δ): 8.11 (d, J=6.8 Hz, 1H), 7.82-7.77 (m, 1H), 6.59 (br s, 1H), 6.24 (br s, 1H) Reference Example 9 8-Chloropyrido[3,4-d]pyrimidin-4(3H)-one (Compound R9) 3-Amino-2-chloroisonicotinamide (170 mg, 0.99 mmol) obtained by the method described in Journal of Heterocyclic Chemistry, 2001, 38, 99 was stirred in ethyl triethyl orthoformate (3.0 mL) at 150° C. for 6 hours. The reaction mixture was concentrated under reduced pressure, and a diethyl ether/ethyl acetate (1/1) mixed solvent was added thereto, and the resulting solid was collected by filtration, whereby the title Compound R9 (148 mg, yield: 82%) was obtained. 1H-NMR (300 MHz, DMSO-d6, δ): 12.8 (br s, 1H), 8.42 (d, J=5.1 Hz, 1H), 8.30 (s, 1H), 7.95 (d, J=5.1 Hz, 1H) Reference Example 10 7,8-Dihydro-3H-pyrano[4,3-d]pyrimidin-4(5H)-one (Compound R10) Ethyl 4-oxotetrahydro-2H-pyran-3-carboxylate (500 mg, 2.9 mmol) obtained by the method described in US2011/82138, formamidine acetate (300 mg, 2.9 mmol), and sodium methoxide (500 mg, 9.3 mmol) were refluxed in methanol (20 mL) for 6 hours. To the reaction mixture, water was added, and then, the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate, and then, the solvent was evaporated under reduced pressure, whereby the title Compound R10 (200 mg, yield: 45%) was obtained. ESI-MS m/z: 153 (M+H)+ Reference Example 11 4,7-Dichloropyrido [4,3-d]pyrimidine (Compound R11) Step 1: Methyl 4-amino-6-chloronicotinate (15 g, 80 mmol) obtained by the method described in US2012/184562 and sodium hydroxide (13 g, 322 mmol) were stirred in a mixed solution of methanol (100 mL) and water (50 mL) at room temperature for 12 hours. The reaction mixture was adjusted to pH 6 with a 6.0 mol/L aqueous hydrochloric acid solution, and the resulting solid was collected by filtration, whereby 4-amino-6-chloronicotinic acid (8.0 g, yield: 58%) was obtained. 1H-NMR (300 MHz, DMSO-d6, δ): 8.47 (s, 1H), 7.52 (br s, 2H), 6.75 (s, 1H) Step 2: 4-Amino-6-chloronicotinic acid (7.0 g, 41 mmol) obtained in Step 1 was stirred in thionyl chloride (100 mL) at 80° C. for 12 hours. The reaction mixture was concentrated under reduced pressure, whereby crude 4-amino-6-chloronicotinoyl chloride was obtained. This compound was used in the subsequent reaction without particularly performing further purification. Step 3: The crude 4-amino-6-chloronicotinoyl chloride obtained in Step 2 was stirred in an aqueous ammonia solution (about 28%, 70 mL) at room temperature for 4 hours. The reaction mixture was extracted with ethyl acetate, and then, the organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate. After the solvent was evaporated under reduced pressure, the resulting residue was purified by silica gel column chromatography using a (dichloromethane/methanol) mixed solvent, whereby 4-amino-6-chloronicotinamide (4.5 g, yield: 72%) was obtained. 1H-NMR (300 MHz, DMSO-d6, δ): 8.37 (s, 1H), 7.97 (br s, 1H), 7.51 (br s, 2H), 7.24 (br s, 1H), 6.65 (s, 1H) Step 4: 4-Amino-6-chloronicotinamide (4.5 g, 25 mmol) obtained in Step 3 was stirred in trimethyl orthoformate (20 mL) at 150° C. for 5 hours. The reaction mixture was cooled to 0° C., and the resulting solid was collected by filtration, whereby 7-chloropyrido[4,3-d]pyrimidin-4(3H)-one (3.2 g, yield: 70%) was obtained. 1H-NMR (300 MHz, DMSO-d6, δ): 12.8 (br s, 1H), 9.10 (s, 1H), 8.34 (s, 1H), 7.73 (s, 1H) Step 5: 7-chloropyrido[4,3-d]pyrimidin-4(3H)-one (2.0 g, 11 mmol) obtained in Step 4 and N,N-dimethylaniline (0.1 mL) was refluxed in phosphorus oxychloride (60 mL) for 15 hours. After the reaction mixture was diluted with dichloromethane, ice water was added thereto, and the resulting mixture was extracted. After the organic layer was dried over anhydrous magnesium sulfate, the solvent was evaporated under reduced pressure, whereby the crude title Compound R11 (1.7 g) was obtained. This compound was used in the subsequent reaction without particularly performing further purification. Reference Example 12 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (Compound 53) The title Compound 53 was synthesized according to the method described in Chemical & Pharmaceutical Bulletin 1990, 38(6), 1591. Example 1 2-[(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzamide (Compound 1) Step 1: 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (300 mg, 0.69 mmol) obtained by the method described in Chemical & Pharmaceutical Bulletin 1990, 38(6), 1591 was dissolved in DMF (3.0 mL), and sodium hydride (about 60 wt %, 33 mg) and methyl 2-(bromomethyl)-benzoate (190 mg, 0.83 mmol) were sequentially added thereto in an ice bath. After the resulting mixture was stirred at room temperature for 2 hours, a saturated aqueous sodium bicarbonate solution was added to the reaction mixture, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby methyl 2-[(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzoate (355 mg, yield: 88%) was obtained. ESI-MS m/z: 582 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.66 (s, 1H), 8.04 (d, J=7.8 Hz, 1H), 7.41-7.36 (m, 1H), 7.32-7.27 (m, 2H), 7.15-7.06 (m, 4H), 6.99-6.94 (m, 1H), 6.57 (d, J=8.8 Hz, 1H), 5.52 (s, 2H), 4.57 (s, 2H), 4.22-4.15 (br m, 2H), 4.02 (s, 3H), 3.97 (s, 3H), 3.93 (s, 3H), 3.50 (d, J=7.8 Hz, 2H), 3.12-3.02 (m, 2H), 2.18-2.08 (m, 1H), 1.96-1.87 (br m, 2H), 1.66-1.55 (br m, 2H) Step 2: Methyl 2-[3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzoate (100 mg, 0.17 mmol) obtained in Step 1 and lithium hydroxide monohydrate (12 mg, 0.52 mmol) were stirred in an ethanol (0.50 mL)/water (0.50 mL) mixed solvent at room temperature for 2 hours. To the reaction mixture, 3.0 mol/L hydrochloric acid was added under ice cooling, and the deposited solid was collected by filtration and dried under reduced pressure, whereby 2-[(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzoic acid (90 mg, yield: 92%) was obtained. 1H-NMR (400 MHz, DMSO-d6, δ): 13.16 (br s, 1H), 8.70 (s, 1H), 7.96 (d, J=6.8 Hz, 1H), 7.47-7.42 (m, 1H), 7.36-7.32 (m, 1H), 7.30-7.18 (m, 3H), 7.13-7.08 (m, 1H), 7.02-6.94 (m, 2H), 6.51 (d, J=7.8 Hz, 1H), 5.38 (s, 2H), 4.70-4.50 (m, 4H), 3.97 (s, 3H), 3.92 (s, 3H), 3.48-3.36 (m, 4H), 2.26-2.19 (m, 1H), 1.92-1.84 (m, 2H), 1.49-1.39 (m, 2H) Step 3: 2-[(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzoic acid (40 mg, 0.07 mmol) obtained in Step 2, EDC hydrochloride (20 mg, 0.11 mmol), HOBt.H2O (16 mg, 0.11 mmol) and an aqueous ammonia solution (about 28%, 0.04 mL) were stirred in DMF (1.0 mL) at room temperature for 3 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby the title Compound 1 (35 mg, yield: 88%) was obtained. ESI-MS m/z: 567 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.65 (s, 1H), 7.58-7.53 (m, 1H), 7.33-7.28 (m, 1H), 7.28-7.24 (m, 2H), 7.23 (s, 1H), 7.20-7.16 (m, 1H), 7.11-7.09 (m, 1H), 7.07 (s, 1H), 7.01-6.97 (m, 1H), 6.84 (d, J=7.7 Hz, 1H), 6.65 (br s, 1H), 5.72 (br s, 1H), 5.34 (s, 2H), 4.53 (s, 2H), 4.21-4.11 (br m, 2H), 4.02 (s, 3H), 3.97 (s, 3H), 3.45 (d, J=7.3 Hz, 2H), 3.11-3.00 (m, 2H), 2.13-2.04 (m, 1H), 1.90-1.85 (m, 2H), 1.55-1.50 (m, 2H) Example 2 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-1-[(tetrahydrofuran-2-yl)methyl]-3,4-dihydroquinazolin-2(1H)-one (Compound 2) The title Compound 2 (95 mg, yield: 40%) was obtained in the same manner as in Example 1 using 2-(bromomethyl)tetrahydrofuran. ESI-MS m/z: 518 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.64 (s, 1H), 7.26-7.23 (m, 2H), 7.12-6.95 (m, 4H), 4.50 (d, J=14 Hz, 1H), 4.35 (d, J=14 Hz, 1H), 4.26-4.11 (m, 5H), 4.02 (s, 3H), 3.98 (s, 3H), 3.95-3.84 (m, 2H), 3.79-3.71 (m, 1H), 3.51-3.34 (m, 1H), 3.09-2.98 (m, 2H), 2.10-1.50 (m, 9H) Example 3 2-[(3-{[1-([1,3]Dioxo[4,5-g]quinazolin-8-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzo nitrile (Compound 3) [1,3]Dioxo[4,5-g]quinazolin-8(7H)-one (24 mg, 0.13 mmol) obtained by the method described in Journal of Medicinal Chemistry, 2010, 53, 8089, BOP (84 mg, 0.19 mmol) and DBU (58 mg, 0.38 mmol) were stirred in DMF (1.0 mL) at room temperature for 1 hour. Thereafter, Compound R2 (50 mg, 0.18 mmol) obtained in Reference Example 2 was added thereto, and the resulting mixture was stirred at 80° C. for 2 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby the title Compound 3 (26 mg, yield: 39%) was obtained. ESI-MS m/z: 533 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.63 (s, 1H), 7.69 (d, J=6.8 Hz, 1H), 7.52-7.47 (m, 1H), 7.36-7.32 (m, 1H), 7.26-7.23 (m, 1H), 7.20 (s, 1H), 7.16-7.10 (m, 3H), 7.01-6.97 (m, 1H), 6.57 (d, J=7.8 Hz, 1H), 6.11 (s, 2H), 5.36 (s, 2H), 4.57 (s, 2H), 4.14-4.09 (br m, 2H), 3.50 (d, J=6.8 Hz, 2H), 3.06-2.99 (m, 2H), 2.14-2.07 (m, 1H), 1.94-1.88 (m, 2H), 1.65-1.61 (m, 2H) Example 4 2-[(3-{[1-(Benzo[d][1,2,3]triazin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzonitrile (Compound 4) The title Compound 4 (18 mg, yield: 29%) was obtained in the same manner as in Example 3 using Compound R2 and benzo[d][1,2,3]triazin-4(3H)-one. ESI-MS m/z: 490 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.26 (d, J=8.1 Hz, 1H), 7.94-7.87 (m, 2H), 7.77-7.67 (m, 2H), 7.54-7.47 (m, 1H), 7.37-7.24 (m, 2H), 7.16-7.10 (m, 2H), 7.02-6.96 (m, 1H), 6.58 (d, J=8.1 Hz, 1H), 5.35 (s, 2H), 4.57-4.54 (m, 4H), 3.50 (d, J=7.3 Hz, 2H), 3.34-3.23 (m, 2H), 2.25-2.18 (m, 1H), 2.02-1.94 (m, 2H), 1.72-1.59 (m, 2H) Example 5 2-[(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-6-(methylsulfonyl)-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)methyl]benzonitrile (Compound 5) Step 1: To a THF solution (10.0 mL) of 5-(methylsulfanyl)-2-nitrobenzoic acid (800 mg, 3.75 mmol), a borane-dimethyl sulfide complex (1.14 g, 15.0 mmol) was added at room temperature, and the resulting mixture was refluxed for 1.5 hours. The reaction mixture was cooled to 0° C., and hydrochloric acid (1.00 mol/L, 10.0 mL) was added thereto, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate. A residue obtained by evaporating the solvent under reduced pressure was dissolved in chloroform (20.0 mL) and DMF (1.00 mL), and manganese dioxide (6.00 g, 69.0 mmol) was added thereto, and the resulting mixture was stirred overnight at room temperature. The reaction mixture was treated with diatomaceous earth, and the solvent was evaporated under reduced pressure, thereby obtaining crude 5-(methylthio)-2-nitrobenzaldehyde. By using this crude compound, tert-butyl 4-{[6-(methylthio)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (850 mg, yield: 58%) was obtained in the same manner as in Reference Example 1. ESI-MS m/z: 392 (M+H)+ Step 2: Tert-butyl 4-{[6-(methylthio)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (400 mg, 1.02 mmol) obtained in Step 1 was dissolved in dichloromethane (10.0 mL), and a saturated aqueous sodium bicarbonate solution (10.0 mL) and meta-chloroperoxybenzoic acid (about 70 wt %, 630 mg) were sequentially added thereto in an ice bath, and the resulting mixture was stirred at room temperature for 2 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the organic layer was separated and the aqueous layer was extracted with chloroform. The organic layers were combined and washed with saturated brine, and then dried over anhydrous magnesium sulfate. The solvent was evaporated under reduced pressure, and the resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby tert-butyl 4-{[6-(methylsulfonyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (433 mg, quantitative yield) was obtained. ESI-MS m/z: 424 (M+H)+ Step 3: Tert-butyl 4-{[6-(methylsulfonyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-y l]methyl}piperidine-1-carboxylate (200 mg, 0.47 mmol) obtained in Step 2 was dissolved in ethyl acetate (3.0 mL), and a hydrochloric acid-ethyl acetate solution (4.0 mol/L, 3.5 mL) was added thereto in an ice bath. After the resulting mixture was stirred at room temperature for 2 hours, the solvent was evaporated under reduced pressure. To the resulting residue, 4-chloro-6,7-dimethoxyquinazoline (117 mg, 0.52 mmol) and diisopropylethylamine (183 mg, 1.4 mmol) were added, and the resulting mixture was refluxed in 2-propanol (5.0 mL) for 2 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate, and then, the solvent was concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby 3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-6-(methylsulfonyl)-3,4-dihydroquinazolin-2 (1H)-one (116 mg, yield: 48%) was obtained. ESI-MS m/z: 512 (M+H)+ Step 4: The title Compound 5 (23 mg, yield: 24%) was obtained in the same manner as in Example 1 using 3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-6-(methylsulfonyl)-3,4-dihydroquinazolin-2(1H)-one obtained in Step 3. ESI-MS m/z: 627 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.66 (s, 1H), 7.72-7.69 (m, 3H), 7.55-7.50 (m, 1H), 7.41-7.36 (m, 1H), 7.26-7.22 (m, 2H), 7.09 (s, 1H), 6.73-6.70 (m, 1H), 5.39 (s, 2H), 4.62 (s, 2H), 4.25-4.20 (m, 2H), 4.03 (s, 3H), 3.99 (s, 3H), 3.52 (d, J=7.2 Hz, 2H), 3.15-3.07 (m, 2H), 3.03 (s, 3H), 2.24-2.11 (m, 1H), 1.94-1.90 (m, 2H), 1.66-1.55 (m, 2H) Example 6 3-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile (Compound 6) Step 1: 3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (50 mg, 0.12 mmol) obtained by the method described in Chemical & Pharmaceutical Bulletin 1990, 38(6), 1591, copper(I) iodide (22 mg, 0.12 mmol), trans-1,2-cyclohexanediamine (13 mg, 0.12 mmol), 3-iodobenzonitrile (53 mg, 0.23 mmol) and tripotassium phosphate (49 mg, 0.23 mmol) were stirred in 1,4-dioxane (1.0 mL) at 100° C. for 5 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was treated with diatomaceous earth and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby the title Compound 6 (51 mg, yield: 82%) was obtained. ESI-MS m/z: 535 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.66 (s, 1H), 7.73-7.59 (m, 4H), 7.24 (s, 1H), 7.16-7.00 (m, 4H), 6.17 (d, J=8.4 Hz, 1H), 4.62 (s, 2H), 4.24-4.14 (br m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.47 (d, J=7.3 Hz, 2H), 3.10-3.06 (br m, 2H), 2.18-2.10 (m, 1H), 1.93-1.90 (m, 2H), 1.62-1.54 (m, 2H) Example 7 5-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-fluorobenzonitrile (Compound 7) The title Compound 7 (40 mg, yield: 63%) was obtained in the same manner as in Example 6 using 2-fluoro-5-iodobenzonitrile. ESI-MS m/z: 553 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.67 (s, 1H), 7.66-7.57 (m, 2H), 7.39-7.31 (m, 1H), 7.24 (s, 1H), 7.16-7.01 (m, 4H), 6.19 (d, J=8.4 Hz, 1H), 4.61 (s, 2H), 4.21-4.17 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.46 (d, J=7.0 Hz, 2H), 3.12-3.05 (m, 2H), 2.14-2.09 (m, 1H), 1.93-1.89 (m, 2H), 1.65-1.52 (m, 2H) Example 8 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-1-(3-nitrophenyl)-3,4-dihydroquinazolin-2(1H)-one (Compound 8) The title Compound 8 (40 mg, yield: 63%) was obtained in the same manner as in Example 6 using l-iodo-3-nitrobenzene. ESI-MS m/z: 555 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.67 (s, 1H), 8.32-8.27 (m, 1H), 8.26-8.23 (m, 1H), 7.74-7.66 (m, 2H), 7.26-7.24 (m, 1H), 7.17-7.01 (m, 4H), 6.20 (d, J=8.1 Hz, 1H), 4.63 (s, 2H), 4.24-4.15 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.48 (d, J=7.0 Hz, 2H), 3.15-3.03 (m, 2H), 2.20-2.08 (m, 1H), 1.97-1.88 (m, 2H), 1.66-1.49 (m, 2H) Example 9 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 9) The title Compound 9 (29 mg, yield: 47%) was obtained in the same manner as in Example 6 using 4-iodopicolinonitrile. ESI-MS m/z: 536 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.80 (d, J=5.2 Hz, 1H), 8.66 (s, 1H), 7.84 (d, J=1.9 Hz, 1H), 7.66 (dd, J=5.2, 1.9 Hz, 1H), 7.24-7.07 (m, 5H), 6.39 (d, J=8.1 Hz, 1H), 4.59 (s, 2H), 4.23-4.15 (br m, 2H), 4.02 (s, 3H), 3.99 (d, J=3.3 Hz, 3H), 3.47 (d, J=7.0 Hz, 2H), 3.13-3.03 (m, 2H), 2.19-2.05 (m, 1H), 1.94-1.85 (m, 2H), 1.66-1.49 (m, 2H) Example 10 2-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)isonicotinonitrile (Compound 10) The title Compound 10 (580 mg, yield: 94%) was obtained in the same manner as in Example 6 using 2-iodoisonicotinonitrile. ESI-MS m/z: 536 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.78 (d, J=4.9 Hz, 1H), 8.66 (s, 1H), 7.86 (s, 1H), 7.55 (d, J=4.9 Hz, 1H), 7.25 (s, 1H), 7.17-7.03 (m, 4H), 6.27 (d, J=7.8 Hz, 1H), 4.62 (s, 2H), 4.24-4.14 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.48 (d, J=7.8 Hz, 2H), 3.12-3.03 (m, 2H), 2.20-2.08 (m, 1H), 1.97-1.85 (m, 2H), 1.67-1.52 (m, 2H) Example 11 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)pyrimidine-2-carbonitrile (Compound 11) Step 1: The title Compound 11 (8.0 mg, yield: 13%) was obtained in the same manner as in Example 6 using 3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one obtained by the method described in Chemical & Pharmaceutical Bulletin 1990, 38 (6), 1591 and 4-bromopyrimidine-2-carbonitrile. ESI-MS m/z: 537 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.77 (d, J=5.9 Hz, 1H), 8.66 (s, 1H), 8.19 (d, J=5.9 Hz, 1H), 7.31-7.21 (m, 4H), 7.14 (d, J=7.8 Hz, 1H), 7.07 (s, 1H), 4.49 (s, 2H), 4.22-4.14 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.50 (d, J=7.8 Hz, 2H), 3.12-3.01 (m, 2H), 2.13-2.03 (m, 1H), 1.89-1.79 (m, 2H), 1.64-1.52 (m, 2H) Example 12 5-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-oxo-1,2-dihydropyridine-3-carbonitrile (Compound 12) Step 1: 5-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-oxo-1-{[2-(trimethylsilyl)ethoxy]methyl}1,2-dihydropyridine-3-carbonitrile (80 mg, yield: 51%) was obtained in the same manner as in Example 6 using Compound R3 obtained in Reference Example 3. ESI-MS m/z: 682 (M+H)+ Step 2: 5-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-oxo-1-{[2-(trimethylsilyl)ethoxy]methyl}1,2-dihydropyridine-3-carbonitrile (80 mg, 0.12 mmol) obtained in Step 1 and trifluoroacetic acid (740 mg, 6.5 mmol) were stirred in dichloromethane (1.0 mL) for 5 hours under ice cooling. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a methanol/chloroform mixed solvent), whereby the title Compound 12 (20 mg, yield: 31%) was obtained. ESI-MS m/z: 552 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.66 (s, 1H), 7.93 (d, J=2.7 Hz, 1H), 7.79 (d, J=2.7 Hz, 1H), 7.25 (s, 1H), 7.21-7.14 (m, 2H), 7.10-7.04 (m, 2H), 6.44 (d, J=8.1 Hz, 1H), 4.58 (s, 2H), 4.24-4.16 (m, 2H), 4.02 (s, 3H), 3.99 (s, 3H), 3.46 (d, J=7.3 Hz, 2H), 3.15-3.04 (m, 2H), 2.18-2.09 (m, 1H), 1.94-1.85 (m, 2H), 1.66-1.51 (m, 2H) Example 13 3-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzenesulfonamide (Compound 13) Step 1: Tert-butyl 3-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)phenylsulfonyl{[2-(trimethylsilyl)ethoxy]methyl}carbamate (98 mg, yield: 86%) was obtained in the same manner as in Example 6 using Compound R4 obtained in Reference Example 4. ESI-MS m/z: 820 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.66 (s, 1H), 8.08-8.04 (m, 1H), 7.99-7.97 (m, 1H), 7.66-7.62 (m, 2H), 7.24 (s, 1H), 7.14-6.99 (m, 4H), 6.18-6.14 (m, 1H), 5.28 (s, 2H), 4.59 (s, 2H), 4.21-4.11 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.61-3.55 (m, 2H), 3.46 (d, J=7.0 Hz, 2H), 3.13-3.03 (m, 2H), 2.16-2.06 (m, 1H), 1.95-1.87 (m, 2H), 1.65-1.53 (m, 2H), 1.37-1.28 (m, 9H), 0.90-0.85 (m, 2H), −0.03 (s, 9H) Step 2: The title Compound 13 (25 mg, yield: 37%) was obtained in the same manner as in Step 2 of Example 12 using tert-butyl 3-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)phenylsulfonyl{[2-(trimethylsilyl)ethoxy]methyl}carbamate obtained in Step 1. ESI-MS m/z: 589 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.64 (s, 1H), 7.96-7.90 (m, 2H), 7.65-7.59 (m, 1H), 7.56-7.52 (m, 1H), 7.24 (s, 1H), 7.14-6.97 (m, 4H), 6.20-6.15 (m, 1H), 5.16 (br s, 2H), 4.61 (s, 2H), 4.22-4.13 (m, 2H), 4.01 (s, 3H), 3.97 (s, 3H), 3.45 (d, J=7.3 Hz, 2H), 3.13-3.03 (m, 2H), 2.18-2.07 (m, 1H), 1.94-1.85 (m, 2H), 1.64-1.49 (m, 2H) Example 14 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinamide (Compound 14) Step 1: Ethyl 4-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinate (235 mg, yield: 70%) was obtained in the same manner as in Example 6 using ethyl 4-iodopicolinate. ESI-MS m/z: 555 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.89 (d, J=5.1 Hz, 1H), 8.67 (s, 1H), 8.17 (s, 1H), 7.59 (d, J=5.1 Hz, 1H), 7.28-7.03 (m, 5H), 6.31 (d, J=8.1 Hz, 1H), 4.61 (s, 2H), 4.49 (q, J=7.1 Hz, 2H), 4.25-4.14 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.48 (d, J=7.3 Hz, 2H), 3.14-3.01 (m, 2H), 2.20-2.06 (m, 1H), 1.97-1.85 (m, 2H), 1.63-1.55 (m, 2H), 1.44 (t, J=7.1 Hz, 3H) Step 2: The title Compound 14 (40 mg, yield: 80%) was obtained in the same manner as in Example 1 using ethyl 4-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinate obtained in Step 1. ESI-MS m/z: 554 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.71 (d, J=5.1 Hz, 1H), 8.66 (s, 1H), 8.19 (d, J=2.0 Hz, 1H), 7.86 (br s, 1H), 7.62 (dd, J=5.1, 2.0 Hz, 1H), 7.23 (s, 1H), 7.17-7.01 (m, 4H), 6.32 (d, J=8.1 Hz, 1H), 5.71 (br s, 1H), 4.59 (s, 2H), 4.23-4.14 (m, 2H), 4.02 (s, 3H), 3.99 (s, 3H), 3.47 (d, J=7.3 Hz, 2H), 3.15-3.04 (m, 2H), 2.19-2.06 (m, 1H), 1.96-1.85 (m, 2H), 1.66-1.51 (m, 2H) Example 15 2-Cyano-4-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzoic acid (Compound 15) Step 1: 2-Bromo-5-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile (630 mg, yield: 89%) was obtained in the same manner as in Example 6 using 2-bromo-5-iodobenzonitrile. ESI-MS m/z: 613 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.66 (s, 1H), 7.81 (d, J=8.4 Hz, 1H), 7.68 (d, J=2.6 Hz, 1H), 7.47 (dd, J=8.4, 2.6 Hz, 1H), 7.23 (s, 1H), 7.16-7.04 (m, 4H), 6.22 (d, J=8.1 Hz, 1H), 4.60 (s, 2H), 4.21-4.16 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.46 (d, J=7.0 Hz, 2H), 3.13-3.03 (m, 2H), 2.17-2.07 (m, 1H), 1.94-1.87 (m, 2H), 1.61-1.54 (m, 2H) Step 2: 2-Bromo-5-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile (200 mg, 0.33 mmol) obtained in Step 1, palladium acetate (7.3 mg, 0.03 mmol), 1,3-bis(diphenylphosphino)propane (DPPP) (130 mg, 0.03 mmol), potassium carbonate (90 mg, 0.65 mmol) and 1-propanol (3.0 mL) were stirred in DMF (1.0 mL) under a carbon monoxide atmosphere (atmospheric pressure) at 80° C. for 4 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was treated with diatomaceous earth, and then, the filtrate was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (an ethyl acetate/heptane mixed solvent), whereby propyl 2-cyano-4-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzoate (175 mg, yield: 86%) was obtained. ESI-MS m/z: 621 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.65 (s, 1H), 8.28-8.25 (m, 1H), 7.84-7.82 (m, 1H), 7.73-7.69 (m, 1H), 7.23 (s, 1H), 7.18-7.02 (m, 4H), 6.23-6.20 (m, 1H), 4.61 (s, 2H), 4.41 (t, J=6.6 Hz, 2H), 4.22-4.15 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.49-3.44 (m, 2H), 3.13-3.03 (m, 2H), 2.18-2.08 (m, 1H), 1.94-1.83 (m, 2H), 1.64-1.53 (m, 2H), 1.28-1.24 (m, 2H), 1.07 (t, J=7.5 Hz, 3H) Step 3: The title Compound 15 (24 mg, yield: 16%) was obtained in the same manner as in Step 2 of Example 1 using propyl 2-cyano-4-(3-{[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1 (2H)-yl)benzoate obtained in Step 2. ESI-MS m/z: 579 (M+H)+, 1H-NMR (300 MHz, DMSO-d6, δ): 8.59 (s, 1H), 8.30 (s, 1H), 8.20 (d, J=8.1 Hz, 1H), 8.01 (d, J=1.8 Hz, 1H), 7.77 (dd, J=8.4, 2.2 Hz, 1H), 7.28-7.15 (m, 3H), 7.13-6.98 (m, 2H), 6.16 (d, J=8.1 Hz, 1H), 4.62 (s, 2H), 4.41-4.30 (m, 2H), 3.94 (s, 3H), 3.89 (s, 3H), 3.40-3.19 (m, 4H), 2.16-2.13 (m, 1H), 1.86-1.82 (m, 2H), 1.43-1.39 (m, 2H) Example 16 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-1-(tetrahydro-2H-pyran-4-yl)-3,4-dihydroquinazolin-2(1H)-one (Compound 16) Step 1: Tert-butyl 4-[(2-aminobenzylamino)methyl]-piperidine-1-carboxylate (1.0 g, 3.1 mmol) obtained in Step 2 of Reference Example 1 was dissolved in methanol (50 mL), and in an ice bath, tetrahydro-4H-pyran-4-one (627 mg, 6.3 mmol) and sodium borohydride (481 mg, 12 mmol) were added thereto, and the resulting mixture was stirred for 2 hours, and thereafter further stirred at room temperature for 2 hours. The reaction mixture was diluted with water, and then extracted with ethyl acetate. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate. A residue obtained by evaporating the solvent under reduced pressure was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby crude tert-butyl 4-{[2-(tetrahydro-2H-pyran-4-ylamino)benzylamide]methyl}piperidine-1-carboxylate was obtained. This compound was dissolved in dioxane (50 mL), and 1,1′-carbonyldiimidazole (0.4 g, 2.5 mmol) was added thereto, and the resulting mixture was stirred at 100° C. for 2 days. To the reaction mixture, water was added, and the resulting mixture was extracted with ethyl acetate. Then, the organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate. After the solvent was evaporated under reduced pressure, the resulting residue was purified by preparative reverse-phase HPLC (an acetonitrile/water mixed solvent), whereby tert-butyl 4-{[2-oxo-1-(tetrahydro-2H-pyran-4-yl)-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (50 mg, yield: 4%) was obtained. ESI-MS m/z: 430 (M+H)+ Step 2: The title Compound 16 (10 mg, yield: 8%) was obtained in the same manner as in Step 3 of Example 5 using tert-butyl 4-{[2-oxo-1-(tetrahydro-2H-pyran-4-yl)-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 1. ESI-MS m/z: 518 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.65 (s, 1H), 7.36-7.26 (m, 2H), 7.19-7.06 (m, 4H), 4.29 (s, 2H), 4.28-4.17 (m, 3H), 4.17-4.07 (m, 2H), 4.03 (s, 3H), 3.90 (s, 3H), 3.60-3.45 (m, 2H), 3.40 (d, J=7.2 Hz, 2H), 3.16-3.05 (m, 2H), 2.86-2.72 (m, 2H), 2.17-1.96 (m, 1H), 1.93-1.72 (m, 4H), 1.65-1.42 (m, 2H) Example 17 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)azetidin-3-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 17) The title Compound 17 (12 mg, yield: 13%) was obtained in the same manner as in Step 3 of Example 5 after performing a treatment according to Reference Example 1 using 2-nitrobenzaldehyde and tert-butyl 3-(aminomethyl)azetidine-1-carboxylate. ESI-MS m/z: 508 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.81 (d, J=4.8 Hz, 1H), 8.51 (s, 1H), 7.81-7.80 (m, 1H), 7.64-7.63 (m, 1H), 7.23-7.09 (m, 5H), 6.37 (d, J=8.0 Hz, 1H), 4.68-4.61 (s, 4H), 4.36-4.32 (m, 2H), 4.01 (s, 3H), 3.94 (s, 3H), 3.84 (d, J=8.0 Hz, 2H), 3.32-3.23 (m, 1H) Example 18 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)-4-hydroxypiperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 18) Step 1: Tert-butyl 4-(aminomethyl)-4-hydroxypiperidine-1-carboxylate (3.1 g, 13 mmol) obtained by the method described in WO2005/000837 was dissolved in methanol (150 mL), and 2-nitrobenzaldehyde (2.0 g, 13 mmol) and sodium cyanoborohydride (1.3 g, 26 mmol) were added thereto, and the resulting mixture was stirred at room temperature for 12 hours. After the reaction mixture was concentrated under reduced pressure, water was added thereto, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate. A residue obtained by evaporating the solvent under reduced pressure was purified by silica gel column chromatography (a hexane/ethyl acetate mixed solvent), whereby tert-butyl 4-hydroxy-4-[(2-nitrobenzylamino)methyl]piperidine-1-carboxylate (2.2 g, yield: 40%) was obtained. 1H-NMR (400 MHz, CDCl3, δ): 7.97 (dd, J=8.0, 0.8 Hz, 1H), 7.64-7.45 (m, 3H), 5.32 (s, 1H), 4.10 (s, 2H), 3.86 (br s, 2H), 3.18 (t, J=12 Hz, 2H), 2.59 (s, 2H), 1.55-1.40 (m, 13H) Step 2: The title Compound 18 (14 mg, yield: 17%) was obtained by performing the same treatments as in Reference Example 1, Step 3 of Example 5 and Example 6 sequentially using tert-butyl 4-hydroxy-4-[(2-nitrobenzylamino)methyl]piperidine-1-carboxylate obtained in Step 1. ESI-MS m/z: 552 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 8.89 (s, 1H), 8.53 (s, 1H), 8.22 (d, J=7.6 Hz, 1H), 7.42-7.34 (m, 4H), 7.22 (s, 1H), 7.10 (s, 1H), 7.06 (d, J=3.2 Hz, 1H), 6.80 (dd, J=7.6, 3.2 Hz, 1H), 4.39 (s, 2H), 3.92 (s, 3H), 3.90 (s, 3H), 3.77-3.72 (m, 2H), 3.51-3.49 (m, 2H), 3.26 (s, 2H), 1.89 (br s, 4H) Example 19 4-{3-[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-ylamino]-2-oxo-3,4-dihydroquinolin-1(2H)-yl}picolinonitrile (Compound 19) Step 1: 3-Amino-3,4-dihydroquinolin-2(1H)-one hydrochloride (490 mg, 2.5 mmol) obtained by the method described in WO2004/98589, tert-butyl 4-oxopiperidine-1-carboxylate (590 mg, 3.0 mmol), triacetoxy sodium borohydride (1.6 g, 7.4 mmol), triethylamine (300 mg, 3.0 mmol) and acetic acid (0.1 mL) were stirred overnight in 1,2-dichloroethane (20 mL) at room temperature. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the organic layer was treated with diatomaceous earth. After the solvent was evaporated under reduced pressure, the resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby tert-butyl 4-(2-oxo-1,2,3,4-tetrahydroquinolin-3-ylamino)piperidine-1-carboxylate (626 mg, yield: 74%) was obtained. ESI-MS m/z: 346 (M+H)+ Step 2: Tert-butyl 4-(2-oxo-1,2,3,4-tetrahydroquinolin-3-ylamino)piperidine-1-carboxylate (0.64 g, 1.9 mmol) obtained in Step 1 and diisopropylethylamine (599 mg, 4.6 mmol) were dissolved in tetrahydrofuran (7.0 mL), and trifluoroacetic anhydride (778 mg, 3.7 mmol) was added thereto at 0° C. After the resulting mixture was stirred at room temperature for 30 minutes, a saturated aqueous sodium bicarbonate solution was added to the reaction mixture, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate, and the solvent was concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby tert-butyl 4-[2,2,2-trifluoro-N-(2-oxo-1,2,3,4-tetrahydroquinolin-3-yl)acetamide]piperidine-1-carboxylate (644 mg, yield: 79%) was obtained. ESI-MS m/z: 442 (M+H)+ Step 3: N-[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]-2,2,2-trifluoro-N-(2-oxo-1,2,3,4-tetrahydroquinolin-3-yl)acetamide (226 mg, yield: 98%) was obtained in the same manner as in Step 3 of Example 5 using tert-butyl 4-[2,2,2-trifluoro-N-(2-oxo-1,2,3,4-tetrahydroquinolin-3-yl)acetamide]piperidine-1-carboxylate (192 mg, 0.43 mmol) obtained in Step 2. ESI-MS m/z: 530 (M+H)+ Step 4: N-[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-yl]-2,2,2-trifluoro-N-(2-oxo-1,2,3,4-tetrahydroquinolin-3-yl)acetamide (226 mg, 0.427 mmol) obtained in Step 3 and lithium hydroxide monohydrate (36 mg, 0.85 mmol) were stirred overnight in a methanol/water mixed solvent (1/1, 4.0 mL) at 60° C. After the reaction mixture was concentrated under reduced pressure, water was added thereto, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate, and the solvent was evaporated under reduced pressure. The resulting residue was purified by preparative thin-layer chromatography (a chloroform/methanol mixed solvent), whereby 3-[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-ylamino]-3,4-dihydroquinolin-2(1H)-one (132 mg, yield: 71%) was obtained. ESI-MS m/z: 434 (M+H)+ Step 5: The title Compound 19 (12 mg, yield: 19%) was obtained in the same manner as in Example 6 using 3-[1-(6,7-dimethoxyquinazolin-4-yl)piperidin-4-ylamino]-3,4-dihydroquinolin-2(1H)-one obtained in Step 4 and 4-iodopicolinonitrile. ESI-MS m/z: 536 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.83 (d, J=6.0 Hz, 1H), 8.67 (s, 1H), 7.70-7.69 (m, 1H), 7.53-7.51 (m, 1H), 7.34-7.32 (m, 1H), 7.27 (s, 1H), 7.21-7.14 (m, 2H), 7.10 (s, 1H), 6.50 (d, J=11 Hz, 1H), 4.17-4.11 (m, 2H), 4.03 (s, 3H), 3.99 (s, 3H), 3.77 (dd, J=13, 6.0 Hz, 1H), 3.22-3.01 (m, 5H), 1.73-1.67 (m, 2H), 1.28-1.24 (m, 2H) Example 20 3-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2,4-dioxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile (Compound 20) Step 1: Isatoic anhydride (5.0 g, 31 mmol) was dissolved in 1,4-dioxane (40 mL), and tert-butyl 4-(aminomethyl)piperidine-1-carboxylate (6.6 g, 31 mmol) and diisopropylethylamine (8.3 g, 64 mmol) were added thereto, and the resulting mixture was stirred at 80° C. for 2 hours. After the reaction mixture was concentrated under reduced pressure, water was added thereto, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate, and the solvent was evaporated under reduced pressure, whereby tert-butyl 4-[(2-aminobenzamide)methyl]piperidine-1-carboxylate (10.2 g, quantitative yield) was obtained. 1H-NMR (300 MHz, CDCl3, δ): 7.32-7.29 (m, 1H), 7.23-7.17 (m, 1H), 6.69-6.60 (m, 2H), 6.27 (br s, 1H), 5.50 (br s, 2H), 4.15-4.08 (m, 2H), 3.32-3.27 (m, 2H), 2.73-2.64 (m, 2H), 1.76-1.70 (m, 3H), 1.20-1.10 (m, 2H) Step 2: Tert-butyl 4-[(2-aminobenzamide)methyl]piperidine-1-carboxylate (500 mg, 1.5 mmol) obtained in Step 1 was dissolved in 1,2-dichloroethane (4.0 mL), and diisopropylethylamine (388 mg, 3.0 mmol) and DMAP (9.2 mg, 0.08 mmol) were added thereto. After the resulting mixture was cooled to 0° C., ethyl chloroformate (195 mg, 1.8 mmol) was added thereto, and then, the resulting mixture was stirred at room temperature for 1 hour. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate, and then, the solvent was evaporated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a hexane/ethyl acetate mixed solvent), whereby tert-butyl 4-{[2-(ethoxycarbonylamino)benzamide]methyl}piperidine-1-carboxylate (577 mg, yield: 95%) was obtained. 1H-NMR (300 MHz, CDCl3, δ): 10.4 (br s, 1H), 8.36 (dd, J=8.4, 0.9 Hz, 1H), 7.49-7.40 (m, 2H), 7.04-6.98 (m, 1H), 6.36-6.34 (m, 1H), 4.21 (q, J=7.2 Hz, 2H), 4.17-4.09 (m, 2H), 3.33 (br s, 2H), 2.74-2.66 (m, 2H), 1.75-1.71 (m, 3H), 1.46 (s, 9H), 1.31 (t, J=7.2 Hz, 3H), 1.21-1.11 (m, 2H) Step 3: Tert-butyl 4-{[2-(ethoxycarbonylamino)benzamide]methyl}piperidine-1-carboxylate (0.47 g, 1.2 mmol) obtained in Step 2 and potassium hydroxide (390 mg, 7.0 mmol) were refluxed in ethanol (12 mL) for 30 minutes. To the reaction mixture, water was added, and the resulting mixture was cooled to 0° C., and then neutralized with dilute hydrochloric acid. Then, the resulting solid was collected by filtration, whereby tert-butyl 4-[(2,4-dioxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate (343 mg, yield: 82%) was obtained. 1H-NMR (400 MHz, CDCl3, δ): 9.00 (br s, 1H), 8.13 (d, J=7.6 Hz, 1H), 7.65-7.60 (m, 1H), 7.25-7.23 (m, 1H), 7.06-7.04 (m, 1H), 4.13-4.10 (m, 2H), 4.00 (d, J=6.8 Hz, 2H), 2.70-2.64 (m, 2H), 2.04 (br s, 1H), 1.67-1.62 (m, 2H), 1.45 (s, 9H), 1.39-1.27 (m, 2H) Step 4: 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}quinazoline-2,4(1H,3H)-dione (414 mg, yield: 98%) was obtained in the same manner as in Step 3 of Example 5 using tert-butyl 4-[(2,4-dioxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 3. 1H-NMR (400 MHz, CDCl3, δ): 9.57 (br s, 1H), 8.66 (s, 1H), 8.15 (d, J=7.6 Hz, 1H), 7.65-7.61 (m, 1H), 7.28-7.24 (m, 2H), 7.10-7.09 (m, 2H), 4.20-4.11 (m, 4H), 4.02 (s, 3H), 3.99 (s, 3H), 3.07-3.01 (m, 2H), 2.24 (br s, 1H), 1.91-1.88 (m, 2H), 1.74-1.65 (m, 2H) Step 5: 3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}quinazoline-2,4(1H,3H)-dione (30 mg, 0.07 mmol) obtained in Step 4, 3-cyanophenylboronic acid (39 mg, 0.27 mmol), copper(II) acetate (49 mg, 0.27 mmol) and triethylamine (27 mg, 0.27 mmol) were mixed and stirred in dichloromethane (1.5 mL) at room temperature for 5 hours, and thereafter further stirred overnight at 35° C. The reaction mixture was treated with diatomaceous earth, and the solvent was evaporated under reduced pressure. Then, the resulting residue was purified by preparative thin-layer chromatography (a chloroform/methanol mixed solvent), whereby the title Compound 20 (18 mg, yield: 48%) was obtained. ESI-MS m/z: 549 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.64 (s, 1H), 8.29 (dd, J=7.5, 1.5 Hz, 1H), 7.88-7.84 (m, 1H), 7.78-7.73 (m, 1H), 7.70-7.69 (m, 1H), 7.65-7.62 (m, 1H), 7.56-7.50 (m, 1H), 7.34-7.27 (m, 2H), 7.18-7.10 (m, 1H), 6.50 (d, J=8.1 Hz, 1H), 4.23-4.13 (m, 4H), 4.00 (s, 3H), 3.99 (s, 3H), 3.10-3.02 (m, 2H), 2.24 (br s, 1H), 1.92-1.88 (m, 2H), 1.75-1.62 (m, 2H) Example 21 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropyrido[3,4-d]pyrimidin-1(2H)-yl)picolinonitrile (Compound 21) The title Compound 21 (62 mg, yield: 60%) was obtained by performing the same treatments as in Reference Example 1, Step 3 of Example 5 and Example 6 sequentially using 3-aminoisonicotinaldehyde. ESI-MS m/z: 537 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.85 (d, J=5.4 Hz, 1H), 8.66 (s, 1H), 8.36 (d, J=4.8 Hz, 1H), 7.85-7.84 (m, 1H), 7.76 (s, 1H), 7.65-7.62 (m, 1H), 7.24 (s, 1H), 7.14 (d, J=4.8 Hz, 1H), 7.08 (s, 1H), 4.63 (s, 2H), 4.22-4.18 (m, 2H), 4.02 (s, 3H), 3.98 (s, 3H), 3.48 (d, J=7.5 Hz, 2H), 3.13-3.05 (m, 2H), 2.16-2.08 (m, 1H), 1.90-1.86 (m, 2H), 1.65-1.53 (m, 2H) Example 22 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropyrido[2,3-d]pyrimidin-1(2H)-yl)picolinonitrile (Compound 22) Step 1: Ethyl (3-formylpyridin-2-yl)carbamate (150 mg, 0.77 mmol) obtained by the method described in US2007/259850 and tert-butyl 4-(aminomethyl)piperidine-1-carboxylate (182 mg, 0.85 mmol) were stirred in methanol (2.0 mL) at 60° C. for 2 hours. After the reaction mixture was cooled to room temperature, sodium borohydride (35 mg, 0.93 mmol) was added thereto, and the resulting mixture was stirred for 40 minutes. Toluene (3.0 mL) and acetic acid (0.5 mL) were added thereto, and the resulting mixture was stirred at 110° C. for 3 hours. To the reaction mixture, water was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate, and then, the solvent was evaporated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a hexane/ethyl acetate mixed solvent), whereby tert-butyl 4-[(2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate (246 mg, yield: 92%) was obtained. 1H-NMR (400 MHz, DMSO-d6, δ): 9.58 (s, 1H), 8.07 (d, J=4.0 Hz, 1H), 7.49 (d, J=8.0 Hz, 1H), 6.92-6.89 (m, 1H), 4.44 (s, 2H), 3.93-3.90 (m, 2H), 3.20 (d, J=7.6 Hz, 2H), 2.67 (br s, 2H), 1.89 (br s, 1H), 1.58-1.56 (m, 2H), 1.39-1.37 (m, 11H) Step 2: The title Compound 22 (45 mg, yield: 61%) was obtained by performing the same treatments as in Step 3 of Example 5 and Example 6 sequentially using tert-butyl 4-[(2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl)methy 1]piperidine-1-carboxylate obtained in Step 1. ESI-MS m/z: 537 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.79 (d, J=6.0 Hz, 1H), 8.66 (s, 1H), 8.18-8.11 (m, 1H), 7.76 (d, J=2.0 Hz, 1H), 7.57 (dd, J=6.0, 2.0 Hz, 1H), 7.51-7.49 (m, 1H), 7.24-7.23 (m, 1H), 7.08-7.00 (m, 2H), 4.62 (s, 2H), 4.22-4.19 (m, 2H), 4.02 (s, 3H), 3.95 (s, 3H), 3.49 (d, J=7.6 Hz, 2H), 3.12-3.07 (m, 2H), 2.14 (br s, 1H), 1.92-1.89 (m, 2H), 1.64-1.55 (m, 2H) Example 23 4-(6-Fluoro-2-oxo-3-{[1-(pyrido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydropyrido[2,3-d]pyrimidin-1(2H)-yl)picolinonitrile (Compound 23) Step 1: Tert-butyl 4-[(6-fluoro-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate (876 mg, yield: 63%) was obtained in the same manner as in Reference Example 1 using 2-amino-5-fluoronicotinaldehyde. ESI-MS m/z: 365 (M+H)+ Step 2: Tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-6-fluoro-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate (588 mg, quantitative yield) was obtained in the same manner as in Example 6 using tert-butyl 4-[(6-fluoro-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 1 and 4-iodopicolinonitrile. ESI-MS m/z: 467 (M+H)+ Step 3: The title Compound (34 mg, yield: 17%) was obtained in the same manner as in Step 3 of Example 5 using tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-6-fluoro-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 2 and 4-chloropyrido[3,4-d]pyrimidine obtained by the method described in US2006/199804. ESI-MS m/z: 496 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 9.31 (s, 1H), 8.80-8.77 (m, 2H), 8.56 (d, J=5.9 Hz, 1H), 7.98 (d, J=2.7 Hz, 1H), 7.73 (t, J=1.1 Hz, 1H), 7.61 (dd, J=5.9, 0.9 Hz, 1H), 7.55 (dd, J=5.4, 2.3 Hz, 1H), 7.29 (dd, J=7.5, 2.9 Hz, 1H), 4.63 (s, 2H), 4.50 (d, J=14 Hz, 2H), 3.48 (d, J=7.2 Hz, 2H), 3.25-3.18 (m, 2H), 2.25-2.17 (m, 1H), 1.94 (t, J=6.6 Hz, 2H), 1.57 (m, 2H) Example 24 4-(3-{[1-(6,7-Dimethoxyquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropteridin-1(2H)-yl)picolinonitrile (Compound 24) The title Compound 24 (60 mg, yield: 84%) was obtained by performing the same treatments as in Reference Example 1, Step 3 of Example 5 and Example 6 sequentially using 3-aminopyrazine-2-carboxaldehyde. ESI-MS m/z: 538 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.82 (d, J=5.1 Hz, 1H), 8.66 (s, 1H), 8.24 (d, J=2.4 Hz, 1H), 8.07 (d, J=2.4 Hz, 1H), 7.73 (d, J=2.1 Hz, 1H), 7.55 (dd, J=5.1, 2.1 Hz, 1H), 7.25 (s, 1H), 7.08 (s, 1H), 4.79 (s, 2H), 4.23-4.18 (m, 2H), 4.02 (s, 3H), 3.99 (s, 3H), 3.54 (d, J=7.2 Hz, 2H), 3.13-3.05 (m, 2H), 2.16 (br s, 1H), 1.94-1.90 (m, 2H), 1.68-1.56 (m, 2H) Example 25 4-(4-{[1-(3-Cyanophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxylic acid (Compound 25) Step 1: 3-{[1-(7-Bromoquinazolin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (9.0 g, yield: 54%) was obtained in the same manner as in Step 3 of Example 5 using Compound R1 obtained in Reference Example 1 and 7-bromo-4-chloroquinazoline. ESI-MS m/z: 452 (M+H)+ Step 2: 3-(3-{[1-(7-Bromoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile (0.6 g, yield: 60%) was obtained in the same manner as in Example 6 using 3-{[1-(7-bromoquinazolin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one obtained in Step 1 and 3-iodobenzonitrile. ESI-MS m/z: 553 (M+H)+ Step 3: Propyl 4-(4-{[1-(3-cyanophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxylate (1.6 g, yield: 50%) was obtained in the same manner as in Step 2 of Example 15 using 3-(3-{[1-(7-bromoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile obtained in Step 2. ESI-MS m/z: 561 (M+H)+ Step 4: The title Compound 25 (1.0 g, yield: 68%) was obtained in the same manner as in Step 2 of Example 1 using propyl 4-(4-{[1-(3-cyanophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxylate obtained in Step 3. ESI-MS m/z: 519 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 9.03 (s, 1H), 8.91 (s, 1H), 8.23 (d, J=6.0 Hz, 1H), 7.87 (d, J=6.6 Hz, 1H), 7.80-7.62 (m, 4H), 7.21-7.09 (m, 2H), 7.09-6.99 (m, 1H), 6.21 (d, J=6.0 Hz, 1H), 4.65 (s, 2H), 4.63 (d, J=10 Hz, 2H), 3.49 (d, J=1.6 Hz, 2H), 3.37-3.27 (m, 2H), 2.39-2.13 (m, 1H), 2.08-1.91 (m, 2H), 1.81-1.61 (m, 2H) Example 26 4-(4-{[1-(3-Cyanophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)-N-methylquinazoline-7-carboxamido (Compound 26) The title Compound 26 (36 mg, yield: 23%) was obtained in the same manner as in Step 3 of Example 1 using Compound 25 obtained in Example 25 and methylamine. ESI-MS m/z: 532 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.73 (s, 1H), 8.15 (s, 1H), 7.93 (s, 2H), 7.79-7.62 (m, 4H), 7.17-7.01 (m, 3H), 6.41 (br s, 1H), 6.19 (d, J=4.5 Hz, 1H), 4.63 (s, 2H), 4.47 (d, J=13 Hz, 2H), 3.48 (d, J=7.2 Hz, 2H), 3.26-3.11 (m, 2H), 3.09 (d, J=4.8 Hz, 3H), 2.25-2.07 (m, 1H), 2.04-1.85 (m, 2H), 1.68-1.41 (m, 2H) Example 27 4-[3-({1-[7-(4-Methylpiperazine-1-carbonyl)quinazolin-4-yl]piperidin-4-yl}methyl)-2-oxo-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile (Compound 27) Step 1: Tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (578 mg, yield: 74%) was obtained in the same manner as in Example 6 using tert-butyl 4-(2-oxo-1,4-dihydro-2H-quinazolin-3-ylmethyl)piperidine-1-carboxylate obtained in Step 3 of Reference Example 1 and 4-iodopicolinonitrile. ESI-MS m/z: 448 (M+H)+ Step 2: 4-[2-Oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile dihydrochloride (94 mg, quantitative yield) was obtained in the same manner as in Step 4 of Reference Example 1 using tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 1. ESI-MS m/z: 348 (M+H)+ Step 3: Tert-butyl 4-(4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxylate (22 mg, yield: 6.4%) was obtained in the same manner as in Example 3 using 4-[2-oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1 (2H)-yl]picolinonitrile dihydrochloride obtained in Step 2 and Compound R5 obtained in Reference Example 5. ESI-MS m/z: 576 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.80 (d, J=5.1 Hz, 1H), 8.75 (s, 1H), 8.49 (d, J=1.8 Hz, 1H), 7.98 (dd, J=8.6, 1.6 Hz, 1H), 7.87 (d, J=8.8 Hz, 1H), 7.84 (d, J=1.8 Hz, 1H), 7.66 (dd, J=5.3, 2.0 Hz, 1H), 7.14 (dq, J=5.3, 18 Hz, 3H), 6.38 (d, J=8.4 Hz, 1H), 4.59 (s, 2H), 4.39 (d, J=13 Hz, 2H), 3.47 (d, J=7.3 Hz, 2H), 3.15 (t, J=12 Hz, 2H), 2.16 (dt, J=4.6, 14 Hz, 1H), 1.91 (d, J=11 Hz, 2H), 1.68-1.51 (m, 11H) Step 4: After tert-butyl 4-(4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxylate (22 mg, 0.038 mmol) obtained in Step 3 was stirred in a trifluoroacetic acid (0.18 mL)/dichloromethane (0.20 mL) mixed solvent at room temperature for 1.5 hours, the solvent was evaporated under reduced pressure. By using the resulting residue and 1-methylpiperazine, the title Compound 27 (7.4 mg, yield: 32%) was obtained in the same manner as in Step 3 of Example 1. ESI-MS m/z: 602 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.81 (d, J=5.0 Hz, 1H), 8.73 (s, 1H), 7.90 (d, J=8.6 Hz, 1H), 7.84 (d, J=1.4 Hz, 2H), 7.65 (dd, J=5.2, 2.0 Hz, 1H), 7.47 (dd, J=8.6, 1.4 Hz, 1H), 7.20-7.09 (m, 3H), 6.38 (d, J=8.2 Hz, 1H), 4.60 (s, 2H), 4.39 (d, J=13 Hz, 2H), 3.86 (br s, 2H), 3.92-3.76 (m, 4H), 3.15 (t, J=12 Hz, 2H), 2.54 (br s, 2H), 2.43-2.30 (m, 5H), 2.21-2.11 (m, 1H), 1.91 (d, J=11.3 Hz, 2H), 1.58 (dd, J=21, 12 Hz, 2H) Example 28 4-(4-{[1-(2-Cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxamido (Compound 28) The title Compound 28 (19 mg, yield: 43%) was obtained in the same manner as in Step 4 of Example 27 using tert-butyl 4-(4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)quinazoline-7-carboxylate obtained in Step 3 of Example 27 and ammonium chloride. ESI-MS m/z: 519 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 8.88 (d, J=5.6 Hz, 1H), 8.64 (s, 1H), 8.29 (d, J=1.6 Hz, 2H), 8.20 (d, J=1.6 Hz, 1H), 8.02-8.00 (m, 1H), 7.94-7.91 (m, 1H), 7.82 (dd, J=5.2, 2.0 Hz, 1H), 7.64 (s, 1H), 7.30 (d, J=7.6 Hz, 1H), 7.15-7.06 (m, 2H), 6.36 (d, J=7.2 Hz, 1H), 4.64 (s, 2H), 4.35-4.32 (m, 2H), 3.39-3.33 (m, 2H), 3.21-3.15 (m, 2H), 2.16 (br s, 1H), 1.83-1.80 (m, 2H), 1.48-1.43 (m, 2H) Example 29 4-(4-{[1-(3-Cyanophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-7-oxide (Compound 29) Step 1: 3-{[1-(Pyrido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (83 mg, yield: 48%) was obtained in the same manner as in Example 3 using Compound R1 and 4-hydroxypyrido[3,4-d]pyrimidine. ESI-MS m/z: 375 (M+H)+ Step 2: 3-{[1-(Pyrido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (598 mg, 1.6 mmol) obtained in Step 1 was dissolved in dichloromethane (10 mL), and meta-chloroperoxybenzoic acid (about 70 wt %, 394 mg) was added thereto, and the resulting mixture was stirred at 0° C. for 1 hour. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by preparative reverse-phase HPLC (an acetonitrile/water mixed solvent), whereby 4-{4-[(2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidin-1-yl}pyrido[3,4-d]pyrimidine-7-oxide (133 mg, yield: 21%) was obtained. ESI-MS m/z: 391 (M+H)+ Step 3: The title Compound 29 (32 mg, yield: 21%) was obtained in the same manner as in Example 6 using 4-{4-[(2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidin-1-yl}pyrido[3,4-d]pyrimidine-7-oxide obtained in Step 2. ESI-MS m/z: 492 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.70 (d, J=1.8 Hz, 1H), 8.66 (s, 1H), 8.08-8.05 (m, 1H), 7.66-7.59 (m, 5H), 7.15-7.00 (m, 3H), 6.18 (d, J=8.1 Hz, 1H), 4.61 (s, 2H), 4.43-4.39 (m, 2H), 3.46 (d, J=7.5 Hz, 2H), 3.26-3.19 (m, 2H), 2.25-2.18 (m, 1H), 1.97-1.94 (m, 2H), 1.64-1.47 (m, 2H) Example 30 4-(3-{[1-(Imidazo[1,2-a]pyrazin-8-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 30) The title Compound 30 (12 mg, yield: 19%) was obtained in the same manner as in Example 5 using 4-[2-oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile dihydrochloride obtained in Step 2 of Example 27 and 8-chloroimidazo[1,2-a]pyrazine. ESI-MS m/z: 465 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.80-8.78 (m, 1H), 7.84-7.83 (m, 1H), 7.67-7.65 (m, 1H), 7.53 (d, J=1.5 Hz, 1H), 7.48-7.47 (m, 2H), 7.33 (d, J=4.8 Hz, 1H), 7.19-7.07 (m, 3H), 6.40-6.37 (m, 1H), 5.48-5.43 (m, 2H), 4.56 (s, 2H), 3.39 (d, J=6.9 Hz, 2H), 3.10-3.00 (m, 2H), 2.17-2.10 (m, 1H), 1.88-1.85 (m, 2H), 1.52-1.38 (m, 2H) Example 31 4-(3-{[1-(7H-pyrrolo[2,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 31) Step 1: 4-(2-Oxo-3-{[1-(7-{[2-(trimethylsilyl)ethoxy]methyl}-7H-pyrrolo[2,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (63 mg, yield: 67%) was obtained in the same manner as in Example 5 using 4-[2-oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile dihydrochloride obtained in Step 2 of Example 27 and 4-chloro-7-{[2-(trimethylsilyl)ethoxy]methyl}-7H-pyrrolo[2,3-d]pyrimidine obtained by the method described in Organic Letters 2009, 11, 1999. 1H-NMR (300 MHz, CDCl3, δ): 8.86-8.84 (m, 1H), 8.40 (s, 1H), 7.89 (dd, J=2.4, 0.9 Hz, 1H), 7.71 (dd, J=5.4, 2.4 Hz, 1H), 7.25-7.13 (m, 4H), 6.57 (d, J=3.6 Hz, 1H), 6.45-6.42 (m, 1H), 5.63 (s, 2H), 4.86-4.82 (m, 2H), 4.62 (s, 2H), 3.61-3.55 (m, 2H), 3.45 (d, J=6.9 Hz, 2H), 3.20-3.11 (m, 2H), 2.30-2.17 (m, 1H), 1.94-1.91 (m, 2H), 1.54-1.41 (m, 2H), 0.99-0.91 (m, 2H), −0.05 (s, 9H) Step 2: The title Compound 31 (25 mg, yield: 64%) was obtained in the same manner as in Step 2 of Example 12 using 4-(2-oxo-3-{[1-(7-{[2-(trimethylsilyl)ethoxy]methyl}-7H-pyrrolo[2,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile obtained in Step 1. ESI-MS m/z: 465 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 10.0 (br s, 1H), 8.80 (d, J=5.7 Hz, 1H), 8.32 (s, 1H), 7.84-7.83 (m, 1H), 7.67-7.64 (m, 1H), 7.19-7.05 (m, 4H), 6.50 (d, J=3.3 Hz, 1H), 6.40-6.37 (m, 1H), 4.84-4.79 (m, 2H), 4.57 (s, 2H), 3.40 (d, J=6.9 Hz, 2H), 3.16-3.08 (m, 2H), 2.25-2.12 (m, 1H), 1.90-1.86 (m, 2H), 1.49-1.36 (m, 2H) Example 32 4-(3-{[1-(5-Methoxypyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 32) Step 1: 3-{[1-(6-Chloro-5-methoxypyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (504 mg, yield: 73%) was obtained in the same manner as in Example 5 using 4-[2-oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile dihydrochloride obtained in Step 2 of Example 27 and 4,6-dichloro-5-methoxypyrimidine. ESI-MS m/z: 388 (M+H)+ Step 2: 3-{[1-(6-Chloro-5-methoxypyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (100 mg, 0.26 mmol) obtained in Step 1, palladium-carbon (10 wt %, 27 mg) and triethylamine (52 mg, 0.52 mmol) were mixed and stirred in ethyl acetate (3.0 mL) under a hydrogen atmosphere (atmospheric pressure) at room temperature for 3 hours. The reaction mixture was treated with diatomaceous earth, and the solvent was evaporated under reduced pressure. To the resulting residue, diethyl ether was added, and the resulting solid was collected by filtration, whereby 3-{[1-(5-methoxypyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (82 mg, yield: 90%) was obtained. ESI-MS m/z: 354 (M+H)+ Step 3: The title Compound 32 (72 mg, yield: 86%) was obtained in the same manner as in Example 6 using 3-{[1-(5-methoxypyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one obtained in Step 2 and 4-iodopicolinonitrile. ESI-MS m/z: 456 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.79 (d, J=5.6 Hz, 1H), 8.32 (s, 1H), 7.88-7.83 (m, 2H), 7.66-7.64 (m, 1H), 7.19-7.08 (m, 3H), 6.38 (d, J=7.6 Hz, 1H), 4.59-4.56 (m, 4H), 3.85 (s, 3H), 3.39 (d, J=6.8 Hz, 2H), 2.91-2.85 (m, 2H), 2.09-2.04 (m, 1H), 1.81-1.78 (m, 2H), 1.45-1.31 (m, 2H) Example 33 4-(3-{[1-(6-Aminopyrimido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 33) Step 1: Compound R1 (841 mg, 3.0 mmol), 4,6-dichloropyrido[3,4-d]pyrimidine (716 mg, 3.6 mmol) obtained by the method described in Bioorganic & Medicinal Chemistry Letters, 2001, 11, 1401, and diisopropylethylamine (2.3 g, 18 mmol) were stirred in 2-propanol (8.0 mL) at 100° C. for 6 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby 3-[1-(6-chloropyrimido[3,4-d]pyrimidin-4-yl)piperidin-4-yl methyl]-3,4-dihydroquinazolin-2(1H)-one (600 mg, yield: 49%) was obtained. ESI-MS m/z: 409 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 9.09 (s, 1H), 8.73 (s, 1H), 7.66 (s, 1H), 7.58 (br s, 1H), 7.21-7.15 (m, 1H), 7.07-7.02 (m, 1H), 6.98-6.93 (m, 1H), 6.74-6.70 (m, 1H), 4.51 (s, 2H), 4.49-4.41 (m, 2H), 3.44 (d, J=7.7 Hz, 2H), 3.27-3.18 (m, 2H), 2.22-2.11 (m, 1H), 1.98-1.89 (m, 2H), 1.63-1.49 (m, 2H) Step 2: 4-(3-{[1-(6-Chloropyrimido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (370 mg, yield: 74%) was obtained in the same manner as in Example 6 using 3-[1-(6-chloropyrimido[3,4-d]pyrimidin-4-yl)piperidin-4-yl methyl]-3,4-dihydroquinazolin-2(1H)-one obtained in Step 1 and 4-iodopicolinonitrile. ESI-MS m/z: 511 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 9.10 (s, 1H), 8.81 (d, J=5.9 Hz, 1H), 8.74 (s, 1H), 7.84-7.83 (m, 1H), 7.67-7.64 (m, 2H), 7.21-7.09 (m, 3H), 6.41-6.37 (m, 1H), 4.59 (s, 2H), 4.48-4.43 (m, 2H), 3.46 (d, J=7.3 Hz, 2H), 3.27-3.18 (m, 2H), 2.24-2.16 (m, 1H), 1.98-1.90 (m, 2H), 1.61-1.48 (m, 2H) Step 3: 4-(3-{[1-(6-Chloropyrimido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (300 mg, 0.59 mmol) obtained in Step 2, Tris(dibenzylideneacetone)dipalladium(0) (Pd2dba3) (27 mg, 0.03 mmol), 4,5-bis(diphenylphosphino)-9, 9-dimethylxanthene (Xantphos) (68 mg, 0.12 mmol), cesium carbonate (268 mg, 0.82 mmol) and benzophenone imine (128 mg, 0.71 mmol) were stirred in a 1,4-dioxane (3.0 mL)/toluene (3.0 mL) mixed solvent at 100° C. for 6 hours. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby crude 4-[3-({l-[6-(diphenylmethyleneamino)pyrimido[3,4-d]pyrimidin-4-yl]piperidin-4-yl}methyl)-2-oxo-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile (44 mg) was obtained. Step 4: To a THF solution (0.5 mL) of the crude 4-[3-({1-[6-(diphenylmethyleneamino)pyrimido[3,4-d]pyrimidin-4-yl]piperidin-4-yl}methyl)-2-oxo-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile (40 mg) obtained in Step 3, concentrated hydrochloric acid (12 mol/L, 0.02 mL) was added, and the resulting mixture was stirred at room temperature for 1 hour. To the reaction mixture, a saturated aqueous sodium bicarbonate solution was added, and the resulting mixture was extracted with chloroform. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate and concentrated under reduced pressure. The resulting residue was purified by preparative thin-layer chromatography (a chloroform/methanol mixed solvent), whereby the title Compound 33 (8.4 mg, yield: 3%) was obtained. ESI-MS m/z: 492 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.92 (s, 1H), 8.81 (d, J=4.9 Hz, 1H), 8.56 (s, 1H), 7.84 (s, 1H), 7.69-7.62 (m, 1H), 7.23-7.09 (m, 3H), 6.65 (s, 1H), 6.38 (d, J=7.8 Hz, 1H), 4.67-4.56 (m, 4H), 4.36-4.27 (m, 2H), 3.50-3.45 (m, 2H), 3.11-3.01 (m, 2H), 2.19-2.08 (m, 1H), 1.94-1.85 (m, 2H), 1.63-1.50 (m, 2H) Example 34 4-(2-Oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 34) 4-[2-Oxo-3-(piperidin-4-ylmethyl)-3,4-dihydroquinazolin-1(2H)-yl]picolinonitrile dihydrochloride (61 mg, 0.15 mmol) obtained in Step 2 of Example 27, 5-chloropyrido[4,3-d]pyrimidin-4(3H)-one (58 mg, 0.32 mmol) obtained by the method described in Synthesis, 2010, 42, 30, and diisopropylethylamine (827 mg, 0.64 mmol) were mixed and stirred in NMP (2.0 mL) at 150° C. for 1 hour using a microwave reactor (manufactured by CEM Corporation) at 300 W. After the solvent was evaporated under reduced pressure, the resulting residue was purified by silica gel column chromatography (a chloroform/methanol mixed solvent), whereby the title Compound 34 (67 mg, yield: 86%) was obtained. ESI-MS m/z: 493 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 10.7 (br s, 1H), 8.80 (d, J=5.4 Hz, 1H), 8.33 (d, J=5.1 Hz, 1H), 8.08 (s, 1H), 7.86-7.85 (m, 1H), 7.68 (d, J=2.1, 5.1 Hz, 1H), 7.22-7.07 (m, 3H), 6.96 (d, J=5.1 Hz, 1H), 6.38 (d, J=7.8 Hz, 1H), 4.58 (s, 2H), 4.03-3.99 (m, 2H), 3.45 (d, J=7.2 Hz, 2H), 3.04-2.96 (m, 2H), 2.06 (br s, 1H), 1.84-1.80 (m, 2H), 1.69-1.61 (m, 2H) Example 35 4-(2-Oxo-3-{[1-(6-oxo-6,7-dihydro-5H-pyrimido[4,5-b][1,4]oxazin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 35) The title Compound 35 (21 mg, yield: 19%) was obtained in the same manner as in Example 5 using Compound R6 obtained in Reference Example 6. ESI-MS m/z: 497 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.81-8.79 (m, 1H), 8.26 (s, 1H), 7.83 (s, 1H), 7.66-7.65 (m, 1H), 7.34 (br s, 1H), 7.20-7.10 (m, 3H), 6.38 (d, J=7.5 Hz, 1H), 4.83 (s, 2H), 4.57 (s, 2H), 3.76-3.72 (m, 2H), 3.44-3.42 (m, 2H), 3.00-2.93 (m, 2H), 2.02 (br s, 1H), 1.89-1.85 (m, 2H), 1.58-1.46 (m, 2H) Example 36 4-(2-Oxo-1-{[1-(8-oxo-8,9-dihydro-7H-purin-6-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 36) The title Compound 36 (67 mg, yield: 63%) was obtained in the same manner as in Example 34 using 6-chloro-7H-purin-8 (9H)-one (84 mg, 0.49 mmol) obtained by the method described in WO2007/125315. ESI-MS m/z: 482 (M+H)+, 1H-NMR (300 MHz, DMSO-d6, δ): 11.4 (s, 1H), 10.7 (s, 1H), 8.86 (d, J=5.4 Hz, 1H), 8.18-8.17 (m, 1H), 8.06 (s, 1H), 7.19-7.79 (m, 1H), 7.28 (d, J=6.9 Hz, 1H), 7.17-7.05 (m, 2H), 6.35 (d, J=7.8 Hz, 1H), 4.60 (s, 2H), 4.23-4.19 (d, J=13 Hz, 2H), 3.32-3.30 (m, 2H), 2.93-2.85 (m, 2H), 2.01 (br s, 1H), 1.71-1.67 (m, 2H), 1.24-1.16 (m, 2H) Example 37 4-(3-{[1-(7-Morpholinoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 37) Step 1: 4-(3-{[1-(7-Bromoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1 (2H)-yl)picolinonitrile (200 mg, yield: 67%) was obtained in the same manner as in Example 5 using 7-bromo-4-chloroquinazoline. ESI-MS m/z: 554 (M+H)+ Step 2: 4-(3-{[1-(7-Bromoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (200 mg, 0.36 mmol) obtained in Step 1, morpholine (63 mg, 0.72 mmol), Tris(dibenzylideneacetone)dipalladium(0)-chloroform adduct (Pd2dba3.CHCl3) (37 mg, 0.04 mmol), Xantphos (21 mg, 0.04 mmol) and cesium carbonate (235 mg, 0.72 mmol) were stirred overnight in 1,4-dioxane (10 mL) at 100° C. The reaction mixture was cooled to room temperature, and then diluted with water and extracted with ethyl acetate. The organic layer was washed with saturated brine, and then dried over anhydrous magnesium sulfate, and the solvent was evaporated under reduced pressure. The resulting residue was purified by preparative reverse-phase HPLC (an acetonitrile/water mixed solvent), whereby the title Compound 37 (50 mg, yield: 24%) was obtained. ESI-MS m/z: 561 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 8.87 (d, J=5.2 Hz, 1H), 8.45 (s, 1H), 8.20 (d, J=1.6 Hz, 1H), 7.83-7.75 (m, 2H), 7.30 (d, J=5.1 Hz, 2H), 7.15-7.08 (m, 2H), 6.99 (d, J=2.4 Hz, 1H), 6.36 (d, J=8.0 Hz, 1H), 4.64 (s, 2H), 4.22-4.19 (m, 2H), 3.77 (t, J=4.8 Hz, 4H), 3.38-3.33 (m, 6H), 3.10-3.04 (m, 2H), 2.08 (br s, 1H), 1.80-1.78 (m, 2H), 1.45-1.37 (m, 2H) Example 38 4-(2-Oxo-3-{[1-(3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyridin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 38) The title Compound 38 (50 mg, yield: 47%) was obtained in the same manner as in Example 34 using 5-bromo-[1,2,4]triazolo[4,3-a]pyridin-3(2H)-one obtained by the method described in WO2005/85226. ESI-MS m/z: 481 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.78 (d, J=6.0 Hz, 1H), 7.85-7.83 (m, 2H), 7.64 (dd, J=6.0, 2.0 Hz, 1H), 7.18-7.07 (m, 4H), 6.44 (d, J=8.4 Hz, 1H), 6.36 (d, J=8.0 Hz, 1H), 4.55 (s, 2H), 4.44-4.41 (m, 2H), 3.38-3.36 (m, 2H), 2.96-2.91 (m, 2H), 2.09 (br s, 1H), 1.82-1.79 (m, 2H), 1.34-1.26 (m, 2H) Example 39 4-(2-Oxo-3-{[1-(3-oxo-2,3-dihydro-[1,2,4]triazolo[4,3-a]pyrazin-8-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 39) The title Compound 39 (50 mg, yield: 46%) was obtained in the same manner as in Example 34 using Compound R7 obtained in Reference Example 7. ESI-MS m/z: 482 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 11.0 (br s, 1H), 8.79 (d, J=5.4 Hz, 1H), 7.83 (d, J=1.8 Hz, 1H), 7.65 (dd, J=5.4, 1.8 Hz, 1H), 7.19-7.08 (m, 5H), 6.38 (d, J=9.8 Hz, 1H), 5.17-5.13 (m, 2H), 4.56 (s, 2H), 3.39 (d, J=7.2 Hz, 2H), 3.06-2.99 (m, 2H), 2.13 (br s, 1H), 1.87-1.83 (m, 2H), 1.46-1.32 (m, 2H) Example 40 3-(4-{[1-(2-Cyanopyridin-4-yl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)-2-fluoroisonicotinamide (Compound 40) The title Compound 40 (50 mg, yield: 46%) was obtained in the same manner as in Example 34 using Compound R8 obtained in Reference Example 8. ESI-MS m/z: 486 (M+H)+, 1H-NMR (270 MHz, CDCl3, δ): 8.79 (d, J=5.1 Hz, 1H), 8.07 (d, J=5.1 Hz, 1H), 7.83 (d, J=1.9 Hz, 1H), 7.65 (dd, J=5.1, 1.9 Hz, 1H), 7.31-7.27 (m, 1H), 7.19-7.07 (m, 3H), 6.49 (br s, 1H), 6.38 (d, J=8.1 Hz, 1H), 5.92 (br s, 1H), 4.57 (s, 2H), 4.05-4.00 (m, 2H), 3.42 (d, J=8.1 Hz, 2H), 2.95-2.87 (m, 2H), 2.03 (br s, 1H), 1.84-1.80 (m, 2H), 1.55-1.50 (m, 2H) Example 41 4-(3-{[1-(7-Aminopyrido[3,2-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 41) Step 1: 4-(3-{[1-(7-Bromopyrido[3,2-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (89 mg, yield: 90%) was obtained in the same manner as in Step 1 of Example 37 using 7-bromo-4-chloropyrido[3,2-d]pyrimidine. ESI-MS m/z: 555 (M+H)+ Step 2: Tert-butyl 4-(4-{[1-(2-cyanopyridin-4-yl)-4-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,2-d]pyrimidin-7-ylcarbamate (87 mg, yield: 92%) was obtained in the same manner as in Step 3 of Example 33 using 4-(3-{[1-(7-bromopyrido[3,2-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile obtained in Step 1 and tert-butyl carbamate. ESI-MS m/z: 555 (M+H)+ Step 3: The title Compound 41 (20 mg, yield: 28%) was obtained in the same manner as in Step 4 of Example 33 using tert-butyl 4-(4-{[1-(2-cyanopyridin-4-yl)-4-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,2-d]pyrimidin-7-ylcarbamate obtained in Step 2. ESI-MS m/z: 492 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.79 (d, J=5.4 Hz, 1H), 8.44 (s, 1H), 8.20 (d, J=2.4 Hz, 1H), 7.84 (d, J=1.5 Hz, 1H), 7.65 (dd, J=5.1, 1.8 Hz, 1H), 7.19-7.07 (m, 4H), 6.38 (d, J=7.5 Hz, 1H), 5.62-5.58 (m, 2H), 4.57 (s, 2H), 4.23 (br s, 2H), 3.40 (d, J=6.9 Hz, 2H), 3.17-3.10 (m, 2H), 2.19 (br s, 1H), 1.89-1.84 (m, 2H), 1.52-1.42 (m, 2H) Example 42 4-(2-Oxo-3-{[1-(4-oxo-3,4-dihydropyrido[3,4-d]pyrimidin-8-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 42) The title Compound 42 (13 mg, yield: 25%) was obtained in the same manner as in Example 34. ESI-MS m/z: 493 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 11.5 (br s, 1H), 8.79 (d, J=4.8 Hz, 1H), 8.25 (d, J=5.1 Hz, 1H), 8.05 (s, 1H), 7.85 (d, J=1.5 Hz, 1H), 7.65 (dd, J=5.7, 1.8 Hz, 1H), 7.46 (d, J=5.1 Hz, 1H), 7.19-7.07 (m, 3H), 6.38 (d, J=7.5 Hz, 1H), 4.63-4.59 (m, 4H), 3.43 (d, J=7.5 Hz, 2H), 3.04-2.96 (m, 2H), 2.07 (br s, 1H), 1.86-1.82 (m, 2H), 1.70-1.57 (m, 2H) Example 43 3-(3-{[1-(7,8-Dihydro-5H-pyrano[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)benzonitrile (Compound 43) Step 1: 3-{[1-(7,8-Dihydro-5H-pyrano[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one (40 mg, yield: 11%) was obtained in the same manner as in Example 3 using Compound R1 obtained in Reference Example 1 and Compound R10 obtained in Reference Example 10. ESI-MS m/z: 380 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.57 (s, 1H), 7.20 (d, J=8.0 Hz, 1H), 7.07 (d, J=8.0 Hz, 1H), 7.00-6.90 (m, 1H), 6.85 (s, 1H), 6.69 (d, J=8.0 Hz, 1H), 4.56 (s, 2H), 4.51 (s, 2H), 4.08 (t, J=6.0 Hz, 2H), 3.79 (d, J=13 Hz, 2H), 3.50 (d, J=7.2 Hz, 2H), 3.00-2.85 (m, 4H), 2.10-1.95 (m, 1H), 1.80-1.70 (m, 2H), 1.50-1.35 (m, 2H) Step 2: The title Compound 43 (26 mg, yield: 26%) was obtained in the same manner as in Example 6 using 3-{[1-(7,8-dihydro-5H-pyrano[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one obtained in Step 1 and 3-iodobenzonitrile. ESI-MS m/z: 481 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 8.44 (s, 1H), 7.96-7.86 (m, 2H), 7.73 (t, J=7.8 Hz, 1H), 7.70-7.63 (m, 1H), 7.25 (d, J=7.2 Hz, 1H), 7.12-7.06 (m, 1H), 7.05-6.95 (m, 1H), 6.07 (d, J=8.0 Hz, 1H), 4.61 (s, 2H), 4.52 (s, 2H), 3.97 (t, J=6.2 Hz, 2H), 3.76 (d, J=13 Hz, 2H), 3.41-3.20 (m, 2H), 2.88 (t, J=12 Hz, 2H), 2.77 (t, J=6.0 Hz, 2H), 2.11-1.92 (m, 1H), 1.79-1.45 (m, 2H), 1.36-1.19 (m, 2H) Example 44 5-(3-{[1-(6-Acetyl-5,6,7,8-tetrahydropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-fluorobenzonitrile (Compound 44) Step 1: Tert-butyl 4-(4-{[2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)-7,8-dihydropyrido[4,3-d]pyrimidine-6(5H)-carboxylate (150 mg, yield: 59%) was obtained in the same manner as in Example 3 using Compound R1 obtained in Reference Example 1 and tert-butyl 4-oxo-3,5,7,8-tetrahydro-5H-pyrido[4,3-d]pyrimidine-6-carboxylate obtained by the method described in WO2010/066684. ESI-MS m/z: 479 (M+H)+, 1H-NMR (300 MHz, CDCl3, δ): 8.57 (s, 1H), 7.20 (t, J=7.5 Hz, 1H), 7.08-6.93 (m, 2H), 6.80 (s, 1H), 6.69 (d, J=8.1 Hz, 1H), 4.51 (s, 2H), 4.44 (s, 2H), 3.89-3.85 (m, 2H), 3.74 (br s, 2H), 3.39-3.34 (m, 2H), 2.95 (br s, 4H), 2.07 (br s, 1H), 1.88-1.84 (m, 2H), 1.50 (br s, 11H) Step 2: Tert-butyl 4-(4-{[2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)-7,8-dihydropyrido[4,3-d]pyrimidine-6(5H)-carboxylate (800 mg, 1.7 mmol) obtained in Step 1 was stirred in hydrochloric acid-dioxane (4.0 mol/L, 20 mL) at room temperature for 2 hours. Then, the solvent was evaporated under reduced pressure, whereby crude 3-{[1-(5,6,7,8-tetrahydropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2 (1H)-one hydrochloride (600 mg) was obtained. This compound was used in the subsequent reaction without particularly performing further purification. Step 3: The crude 3-{[1-(5,6,7,8-tetrahydropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2 (1H)-one hydrochloride (100 mg) obtained in Step 2, acetyl chloride (25 mg, 0.25 mmol) and triethylamine (40 mg, 0.4 mmol) were stirred in dichloromethane (5.0 mL) at room temperature for 5 hours. To the reaction mixture, water was added, and the resulting mixture was extracted with dichloromethane. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate. After the solvent was evaporated under reduced pressure, the resulting residue was purified by preparative reverse-phase HPLC (an acetonitrile/water mixed solvent), whereby 3-{[1-(6-acetyl-5,6,7,8-tetrahydropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(H)-one (20 mg, yield: 19%) was obtained. ESI-MS m/z: 421 (M+H)+, 1H-NMR (400 MHz, CDCl3, δ): 8.56 (s, 1H), 7.22-7.18 (m, 1H), 7.09-7.07 (m, 1H), 7.02-6.96 (m, 1H), 6.69 (br s, 2H), 4.58 (s, 2H), 4.43 (s, 2H), 3.93-3.86 (m, 2H), 3.80-3.73 (m, 2H), 3.44-3.36 (m, 2H), 2.98-2.93 (m, 4H), 2.19 (s, 3H), 2.08 (br s, 1H), 1.86-1.83 (m, 2H), 1.53-1.44 (m, 2H) Step 4: The title Compound 44 (44 mg, yield: 34%) was obtained in the same manner as in Example 6 using 3-{[1-(6-acetyl-5,6,7,8-tetrahydropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-2(1H)-one obtained in Step 3 and 2-fluoro-5-iodobenzonitrile. ESI-MS m/z: 540 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 8.46 (s, 1H), 8.03-8.02 (m, 1H), 7.81-7.65 (m, 2H), 7.25 (d, J=7.2 Hz, 1H), 7.10 (t, J=7.6 Hz, 1H), 7.01 (t, J=7.2 Hz, 1H), 6.14 (d, J=8.0 Hz, 1H), 4.70 (s, 2H), 4.62-4.45 (m, 2H), 3.81-3.74 (m, 4H), 3.32 (br s, 2H), 2.93-2.87 (m, 4H), 2.07-2.04 (m, 4H), 1.91-1.84 (m, 2H), 1.38-1.24 (m, 2H) Example 45 4-(4-{[1-(3-Cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-6-carboxamido (Compound 45) Step 1: Tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (400 mg, yield: 61%) was obtained in the same manner as in Example 6 using Compound R1 obtained in Reference Example 1 and 2-fluoro-5-iodobenzonitrile. ESI-MS m/z: 465 (M+H)+ Step 2: 5-(3-{[1-(6-Chloropyrido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-fluorobenzonitrile (100 mg, yield: 30%) was obtained in the same manner as in Example 3 using tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 1 and 6-chloropyrido[3,4-d]pyrimidin-4(3H)-one obtained by the method described in WO2005/16926. ESI-MS m/z: 528 (M+H)+ Step 3: Propyl 4-(4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-6-carboxylate (150 mg, yield: 53%) was obtained in the same manner as in Step 2 of Example 15 using 5-(3-{[1-(6-chloropyrido[3,4-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydroquinazolin-1(2H)-yl)-2-fluorobenzonitrile obtained in Step 2. Step 4: 4-(4-{[1-(3-Cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-6-carboxylic acid (1.0 mg, yield: 1%) was obtained in the same manner as in Step 3 of Example 15 using propyl 4-(4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-6-carboxylate obtained in Step 3. ESI-MS m/z: 538 (M+H)+ Step 5: 4-(4-{[1-(3-Cyano-4-fluorophenyl)-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-6-carboxylic acid (20 mg, 0.04 mmol) obtained in Step 4 was mixed with ammonium chloride (6.0 mg, 0.12 mmol), HATU (21 mg, 0.06 mmol), and diisopropylethylamine (14 mg, 0.12 mmol) in THF (4.0 mL) and the resulting mixture was stirred at room temperature for 15 hours. The reaction mixture was concentrated under reduced pressure, and the resulting residue was purified by preparative reverse-phase HPLC (an acetonitrile/water mixed solvent), whereby the title Compound 45 (11 mg, 54%) was obtained. ESI-MS m/z: 537 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 9.15 (s, 1H), 8.73 (s, 1H), 8.46 (s, 1H), 8.26 (s, 1H), 8.04-8.02 (m, 1H), 7.81-7.66 (m, 3H), 7.27 (d, J=7.6 Hz, 1H), 7.13-7.10 (m, 1H), 7.04-7.01 (m, 1H), 6.15 (d, J=8.0 Hz, 1H), 4.65 (s, 2H), 4.50 (d, J=13 Hz, 2H), 3.45-3.20 (m, 4H), 2.20 (br s, 1H), 1.89-1.86 (m, 2H), 1.51-1.29 (m, 2H) Example 46 4-(4-{[1-(3-Cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[3,2-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[3,4-d]pyrimidine-6-carboxamido (Compound 46) Step 1: Tert-butyl 4-[(2-oxo-1,2-dihydropyrido[3,2-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate (2.0 g, yield: 62%) was obtained in the same manner as in Reference Example 1 using 3-aminopicolinaldehyde. ESI-MS m/z: 347 (M+H)+ Step 2: Tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[3,2-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate (400 mg, yield: 54%) was obtained in the same manner as in Example 6 using tert-butyl 4-[(2-oxo-1,2-dihydropyrido[3,2-d]pyrimidin-3(4H)-yl)methy 1]piperidine-1-carboxylate obtained in Step 1 and 2-fluoro-5-iodobenzonitrile. ESI-MS m/z: 466 (M+H)+ Step 3: The title Compound (13 mg, yield: 71%) was obtained in the same manner as in Example 45 using tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[3,2-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 2. ESI-MS m/z: 538 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 9.14 (s, 1H), 8.72 (s, 1H), 8.46 (s, 1H), 8.26 (s, 1H), 8.17 (dd, J=4.8, 1.2 Hz, 1H), 8.06 (dd, J=6.0, 2.8 Hz, 1H), 7.89-7.65 (m, 2H), 7.70 (t, J=9.0 Hz, 1H), 7.15 (dd, J=8.4, 3.6 Hz, 1H), 6.59 (d, J=8.4 Hz, 1H), 4.73 (s, 2H), 4.50 (d, J=13 Hz, 2H), 3.49-3.20 (m, 4H), 2.35-2.13 (m, 1H), 1.89 (d, J=11 Hz, 2H), 1.53-1.30 (m, 2H) Example 47 2-Fluoro-5-(2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydropyrido[2,3-d]pyrimidin-1(2H)-yl)benzonitrile (Compound 47) Step 1: Tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate (350 mg, yield: 52%) was obtained in the same manner as in Example 6 using tert-butyl 4-[(2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 1 of Example 22 and 2-fluoro-5-iodobenzonitrile. ESI-MS m/z: 466 (M+H)+ Step 2: The title Compound 47 (40 mg, yield: 56%) was obtained in the same manner as in Example 34 using tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 1 and 5-chloropyrido[4,3-d]pyrimidin-4(3H)-one. ESI-MS m/z: 511 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 12.1 (s, 1H), 8.23 (d, J=5.2 Hz, 1H), 8.11 (s, 1H), 8.03 (d, J=5.2 Hz, 1H), 7.94 (dd, J=6.0, 2.4 Hz, 1H), 7.74-7.66 (m, 2H), 7.61 (t, J=8.8 Hz, 1H) 7.04 (dd, J=8.0, 4.8 Hz, 1H), 6.82 (d, J=5.2 Hz, 1H), 4.64 (s, 2H), 3.90-3.87 (m, 2H), 3.35-3.33 (m, 2H), 2.87 (t, J=8.4 Hz, 2H), 1.99 (br s, 1H), 1.73-1.70 (m, 2H), 1.43-1.38 (m, 2H) Example 48 4-(6-Methoxy-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinamide (Compound 48) Step 1: Tert-butyl 4-[(6-methoxy-2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate (1.5 g, yield: 73%) was obtained in the same manner as in Reference Example 1 using 5-methoxy-2-nitrobenzaldehyde. ESI-MS m/z: 376 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 8.97 (s, 1H), 6.75-6.69 (m, 3H), 4.38 (s, 2H), 3.93-3.90 (m, 2H), 3.90 (s, 3H), 3.18 (d, J=7.2 Hz, 2H), 2.68 (br s, 2H), 1.84 (br s, 1H), 1.58-1.55 (m, 2H), 1.39 (s, 9H), 1.07-1.00 (m, 2H) Step 2: Tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-6-methoxy-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate (580 mg, yield: 76%) was obtained in the same manner as in Example 6 using tert-butyl 4-[(6-methoxy-2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 1 and 4-iodopyridine-2-carbonitrile. ESI-MS m/z: 478 (M+H)+ Step 3: 4-(6-Methoxy-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (300 mg, yield: 47%) was obtained in the same manner as in Example 36 after performing a treatment in the same manner as in Step 4 of Reference Example 1 using tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-6-methoxy-2-oxo-1,2-dihydroquinazolin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 2. ESI-MS m/z: 523 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 12.1 (s, 1H), 8.82 (d, J=5.2 Hz, 1H), 8.22 (d, J=5.2 Hz, 1H), 8.17 (s, 1H), 8.11 (s, 1H), 7.80 (d, J=5.2 Hz, 1H), 6.91 (s, 1H), 6.82 (d, J=5.2 Hz, 1H), 6.75-6.73 (m, 1H), 6.39-6.37 (m, 1H), 4.57 (s, 2H), 3.89-3.77 (m, 2H), 3.73 (s, 3H), 3.34-3.32 (m, 2H), 2.89-2.83 (m, 2H), 1.96 (br s, 1H), 1.67-1.66 (m, 2H), 1.43-1.34 (m, 2H) Step 4: 4-(6-Methoxy-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (80 mg, 0.15 mmol) obtained in Step 3 and lithium hydroxide monohydrate (14 mg, 0.33 mmol) were stirred in a tetrahydrofuran-water mixed solvent (1/1, 3.0 mL) at room temperature for 15 hours. The reaction mixture was concentrated under reduced pressure, and the resulting residue was purified by preparative reverse-phase HPLC, whereby the title Compound 48 (34 mg, yield: 40%) was obtained. ESI-MS m/z: 541 (M+H)+, 1H-NMR (400 MHz, DMSO-d6+D2O, δ): 8.73 (d, J=5.2 Hz, 1H), 8.32-8.17 (m, 2H), 8.08 (s, 1H), 7.94 (s, 1H), 7.74-7.69 (m, 1H), 7.62 (d, J=4.4 Hz, 1H), 7.06 (s, 1H), 6.90 (s, 1H), 6.82 (d, J=5.6 Hz, 1H), 6.76-6.66 (m, 1H), 6.21 (d, J=8.4 Hz, 1H), 4.56 (s, 2H), 3.83 (d, J=13 Hz, 2H), 3.67 (s, 3H), 3.31 (d, J=7.2 Hz, 2H), 2.92-2.80 (m, 2H), 2.05-1.82 (m, 1H), 1.76-1.58 (m, 2H), 1.45-1.27 (m, 2H) Example 49 4-(6-Fluoro-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinonitrile (Compound 49) Step 1: Tert-butyl 4-[(6-fluoro-2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate (720 mg, yield: 73%) was obtained in the same manner as in Reference Example 1 using 5-fluoro-2-nitrobenzaldehyde. ESI-MS m/z: 364 (M+H)+ Step 2: Tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-6-fluoro-2-oxo-1,2,3,4-tetrahydroquinazolin-3-yl]methyl}piperidine-1-carboxylate (500 mg, yield: 78%) was obtained in the same manner as in Example 6 using tert-butyl 4-[(6-fluoro-2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 1 and 4-iodopicolinonitrile. ESI-MS m/z: 466 (M+H)+ Step 3: The title Compound 49 (80 mg, yield: 54%) was obtained in the same manner as in Example 34 after performing a treatment in the same manner as in Step 4 of Reference Example 1 using tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-6-fluoro-2-oxo-1,2,3,4-tetrahydroquinazolin-3-yl]methyl}piperidine-1-carboxylate obtained in Step 2. ESI-MS m/z: 511 (M+H)+, 1H-NMR (300 MHz, DMSO-d6, δ): 9.87 (br s, 1H), 8.86 (d, J=5.1 Hz, 1H), 8.27-8.14 (m, 2H), 8.11 (s, 1H), 7.85-7.77 (m, 1H), 7.25-7.15 (m, 1H), 7.04-6.91 (m, 1H), 6.81 (d, J=5.4 Hz, 1H), 6.46-6.34 (m, 1H), 4.61 (s, 2H), 3.87 (d, J=13 Hz, 2H), 3.45-3.21 (m, 2H), 2.86 (t, J=12 Hz, 2H), 2.08-1.82 (m, 1H), 1.78-1.61 (m, 2H), 1.51-1.29 (m, 2H) Example 50 N-[4-(4-{[1-(2-Cyanopyridin-4-yl)-2-oxo-1,2-dihydropyrido[2, 3-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl) quinazolin-6-yl]acetamide (Compound 50) Step 1: Tert-butyl 4-[(2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate (2.0 g, 5.8 mmol) obtained in Step 1 of Example 22, 4-iodopicolinonitrile (2.0 g, 8.7 mmol), copper(I) oxide (3.4 g, 24 mmol) and tripotassium phosphate (2.6 g, 12 mmol) were stirred in DMA (20 mL) at 120° C. for 7 hours. The reaction mixture was diluted with dichloromethane and extracted by adding water thereto. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate, and then, the solvent was evaporated under reduced pressure. The resulting residue was purified by silica gel column chromatography (a dichloromethane/methanol mixed solvent), whereby tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate (1.6 g, yield: 61%) was obtained. 1H-NMR (300 MHz, DMSO-d6, δ): 8.83 (d, J=5.4 Hz, 1H), 8.12 (d, J=1.5 Hz, 1H), 8.05 (dd, J=5.4, 1.5 Hz, 1H), 7.75 (dd, J=5.4, 2.1 Hz, 1H), 7.69 (d, J=7.2 Hz, 1H), 7.09 (dd, J=7.2, 2.1 Hz, 1H), 4.62 (s, 2H), 4.10-3.80 (m, 2H), 3.45-3.15 (m, 2H), 2.90-2.50 (m, 2H), 2.00-1.75 (m, 1H), 1.70-1.50 (m, 2H), 1.38 (s, 9H), 1.15-0.90 (m, 2H) Step 2: 4-(3-{[1-(6-Bromoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropyrido[2,3-d]pyrimidin-1(2H)-yl)picolinonitrile (100 mg, yield: 76%) was obtained in the same manner as in Step 3 of Example 5 using tert-butyl 4-{[1-(2-cyanopyridin-4-yl)-2-oxo-1,2-dihydropyrido[2,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 1 and 6-bromo-4-chloroquinazoline. ESI-MS m/z: 555 (M+H)+ Step 3: The title Compound 50 (25 mg, yield: 26%) was obtained in the same manner as in Step 3 of Example 33 using 4-(3-{[1-(6-bromoquinazolin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropyrido[2,3-d]pyrimidin-1(2H)-yl)picolinonitrile obtained in Step 2 and acetamide. ESI-MS m/z: 534 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 10.3 (s, 1H), 8.84 (d, J=5.2 Hz, 1H), 8.55 (s, 1H), 8.47 (s, 1H), 8.15 (s, 1H), 8.07 (d, J=4.8 Hz, 1H), 7.85-7.77 (m, 4H), 7.13-7.10 (m, 1H), 4.68 (s, 2H), 4.27-4.23 (m, 2H), 3.42 (d, J=6.8 Hz, 2H), 3.08 (t, J=13 Hz, 2H), 2.12 (br s, 4H), 1.87-1.84 (m, 2H), 1.51-1.45 (m, 2H) Example 51 4-(6-Cyano-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-3,4-dihydroquinazolin-1(2H)-yl)picolinamide (Compound 51) Step 1: Tert-butyl 4-{[(5-bromo-2-nitrobenzyl)amino]methyl}piperidine-1-carboxylate (7.0 g, yield: 63%) was obtained in the same manner as in Step 1 of Reference Example 1 using 5-bromo-2-nitrobenzaldehyde. 1H-NMR (300 MHz, CDCl3, δ): 7.84 (d, J=8.4 Hz, 2H), 7.60-7.50 (m, 1H), 5.30 (s, 1H), 4.22-4.05 (m, 2H), 4.03 (s, 2H), 2.79-2.60 (m, 2H), 2.52 (d, J=6.6 Hz, 2H), 1.80-1.50 (m, 3H), 1.46 (s, 9H), 1.22-1.02 (m, 2H) Step 2: Tert-butyl 4-{[(5-bromo-2-nitrobenzyl)amino]methyl}piperidine-1-carboxylate (3.0 g, 7.0 mmol) obtained in Step 1, zinc (1.5 mg), zinc cyanide (540 mg, 4.6 mmol) and tetrakis(triphenylphosphine)palladium(0) (100 mg, 0.09 mmol) were stirred in DMF (100 mL) at 100° C. for 12 hours. To the reaction mixture, water was added, and the resulting mixture was extracted with ethyl acetate. The organic layer was washed with saturated brine and dried over anhydrous magnesium sulfate. Then, a residue obtained by evaporating the solvent under reduced pressure was purified by silica gel column chromatography (a hexane/ethyl acetate mixed solvent), whereby tert-butyl 4-{[(5-cyano-2-nitrobenzyl)amino]methyl}piperidine-1-carboxylate (1.2 g, yield: 46%) was obtained. 1H-NMR (300 MHz, CDCl3, δ): 8.09 (s, 1H), 8.01 (d, J=8.4 Hz, 1H), 7.80-7.68 (m, 1H), 4.25-4.00 (m, 4H), 3.50 (s, 1H), 2.71 (t, J=12 Hz, 2H), 2.54 (d, J=3.3 Hz, 2H), 1.80-1.60 (m, 2H), 1.75-1.51 (m, 1H), 1.47 (s, 9H), 1.29-1.01 (m, 2H) Step 3: Tert-butyl 4-[(6-cyano-2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate (600 mg, yield: 70%) was obtained in the same manner as in Reference Example 1 using tert-butyl 4-{[(5-cyano-2-nitrobenzyl)amino]methyl}piperidine-1-carboxylate obtained in Step 2. 1H-NMR (300 MHz, CDCl3, δ): 7.58 (s, 1H), 7.52-7.41 (m, 1H), 7.34 (s, 1H), 6.76 (d, J=8.4 Hz, 1H), 4.48 (s, 2H), 4.25-4.01 (m, 2H), 3.45-3.25 (m, 2H), 2.80-2.59 (m, 2H), 2.00-1.55 (m, 3H), 1.45 (s, 9H), 1.33-1.10 (m, 2H) Step 4: Tert-butyl 4-{[6-cyano-1-(2-cyanopyridin-4-yl)-2-oxo-1,2,3,4-tetrahydroquinazolin-3-yl]methyl}piperidine-1-carboxylate (220 mg, yield: 57%) was obtained in the same manner as in Example 6 using tert-butyl 4-[(6-cyano-2-oxo-1,2-dihydroquinazolin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 3 and 4-iodopicolinonitrile. ESI-MS m/z: 473 (M+H)+ Step 5: 1-(2-Cyanopyridin-4-yl)-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-1,2,3,4-tetrahydroquinazoline-6-carbonitrile (55 mg, yield: 26%) was obtained by performing the same treatments as in Step 4 of Reference Example 1 and Example 34 sequentially using tert-butyl 4-{[6-cyano-1-(2-cyanopyridin-4-yl)-2-oxo-1,2,3,4-tetrahydroquinazolin-3-yl]methyl}piperidine-1-carboxylate obtained in Step 4. ESI-MS m/z: 518 (M+H)+, 1H-NMR (300 MHz, DMSO-d6, δ): 12.1 (br s, 1H), 8.91 (d, J=5.1 Hz, 1H), 8.25-8.18 (m, 2H), 8.10 (s, 1H), 7.86-7.73 (m, 2H), 7.62-7.52 (m, 1H), 6.81 (d, J=5.4 Hz, 1H), 6.46 (d, J=5.4 Hz, 1H), 4.67 (s, 2H), 3.88 (d, J=13 Hz, 2H), 3.40-3.27 (m, 2H), 2.95-2.78 (m, 2H), 2.05-1.85 (m, 1H), 1.77-1.63 (m, 2H), 1.49-1.30 (m, 2H) Step 6: The title Compound 51 (28 mg, yield: 74%) was obtained in the same manner as in Step 4 of Example 48 using 1-(2-cyanopyridin-4-yl)-2-oxo-3-{[1-(4-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-5-yl)piperidin-4-yl]methyl}-1,2,3,4-tetrahydroquinazoline-6-carbonitrile obtained in Step 5. ESI-MS m/z: 536 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 12.1 (br s, 1H), 8.81 (d, J=5.2 Hz, 1H), 8.22 (d, J=5.2 Hz, 2H), 8.10 (s, 1H), 8.00 (s, 1H), 7.78 (s, 2H), 7.28-7.66 (m, 1H), 7.55 (d, J=8.4 Hz, 1H), 6.82 (d, J=5.2 Hz, 1H), 6.33 (d, J=8.4 Hz, 1H), 4.68 (s, 2H), 3.88 (d, J=13 Hz, 2H), 3.34-3.32 (m, 2H), 2.87 (m, 2H), 2.05-1.93 (m, 1H), 1.82-1.62 (m, 2H), 1.45-1.29 (m, 2H) Example 52 4-(4-{[1-(3-Cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[4,3-d]pyrimidine-7-carboxamido Step 1: Tert-butyl 4-[(2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl)methy 1]piperidine-1-carboxylate (3.6 g, yield: 61%) was obtained in the same manner as in Reference Example 1 using 4-aminonicotinaldehyde. ESI-MS m/z: 347 (M+H)+ Step 2: Tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate (450 mg, yield: 70%) was obtained in the same manner as in Step 1 of Example 50 using tert-butyl 4-[(2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl)methyl]piperidine-1-carboxylate obtained in Step 1 and 2-fluoro-5-iodobenzonitrile. ESI-MS m/z: 449 (M+H)+ Step 3: 5-(3-{[1-(7-Chloropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-1(2H)-yl)-2-fluorobenzonitrile (300 mg, 23%) was obtained in the same manner as in Step 3 of Example 5 using tert-butyl 4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidine-1-carboxylate obtained in Step 2 and Compound R11 obtained in Reference Example 11. ESI-MS m/z: 529 (M+H)+ Step 4: Propyl 4-(4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[4,3-d]pyrimidine-7-carboxylate (130 mg, yield: 53%) was obtained in the same manner as in Step 2 of Example 15 using 5-(3-{[1-(7-chloropyrido[4,3-d]pyrimidin-4-yl)piperidin-4-yl]methyl}-2-oxo-3,4-dihydropyrido[4,3-d]pyrimidin-(2H)-yl)-2-fluorobenzonitrile obtained in Step 3. ESI-MS m/z: 581 (M+H)+ Step 5: 4-(4-{[1-(3-Cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[4,3-d]pyrimidine-7-carboxylic acid (35 mg, yield: 30%) was obtained in the same manner as in Step 3 of Example 15 using propyl 4-(4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[4,3-d]pyrimidine-7-carboxylate obtained in Step 4. ESI-MS m/z: 539 (M+H)+, 1H-NMR (400 MHz, DMSO-d6, δ): 9.32 (s, 1H), 8.67 (s, 1H), 8.37 (s, 1H), 8.20 (d, J=5.6 Hz, 1H), 8.15-8.05 (m, 2H), 7.89-7.72 (m, 1H), 7.72 (t, J=9.0 Hz, 1H), 6.17 (d, J=5.6 Hz, 1H), 4.71 (s, 2H), 4.57 (d, J=13 Hz, 2H), 3.49-3.20 (m, 4H), 2.31-2.12 (m, 1H), 1.88 (d, J=10 Hz, 2H), 1.51-1.33 (m, 2H) Step 6: The title Compound 52 (12 mg, yield: 34%) was obtained in the same manner as in Step 5 of Example 45 using 4-(4-{[1-(3-cyano-4-fluorophenyl)-2-oxo-1,2-dihydropyrido[4,3-d]pyrimidin-3(4H)-yl]methyl}piperidin-1-yl)pyrido[4,3-d]pyrimidine-7-carboxylic acid obtained in Step 5. ESI-MS m/z: 538 (M+H)+, 1H-NMR (300 MHz, DMSO-d6, δ): 9.23 (s, 1H), 8.65 (s, 1H), 8.33 (s, 1H), 8.25-8.13 (m, 2H), 8.08 (s, 1H), 8.11-8.00 (m, 1H), 7.85 (s, 1H), 7.85-7.62 (m, 2H), 6.13 (d, J=5.4 Hz, 1H), 4.67 (s, 2H), 4.54 (d, J=12 Hz, 2H), 3.58-3.00 (m, 4H), 2.25-2.12 (m, 1H), 1.85 (d, J=12 Hz, 2H), 1.51-1.30 (m, 2H) Example 53 Tablets Compound 53 Tablets having the following ingredients are prepared according to the conventional manner. Compound 53 (40 g), lactose (286.8 g) and potato starch (60 g) are mixed, and a 10% aqueous hydroxypropyl cellulose solution (120 g) is added thereto. The resulting mixture is kneaded, granulated, dried, and then sized according to the conventional manner, whereby granules for tableting are prepared. Magnesium stearate (1.2 g) is added thereto and mixed therewith, and the resulting mixture is tableted using a tablet press with a pestle having a diameter of 8 mm (model RT-15, manufactured by Kikusui Seisakusho Ltd.), whereby tablets (containing 20 mg of the active ingredient per tablet) are obtained. TABLE 8 Formulation Compound 53 20 mg Lactose 143.4 mg Potato starch 30 mg Hydroxypropyl cellulose 6 mg Magnesium stearate 0.6 mg 200 mg INDUSTRIAL APPLICABILITY According to the present invention, a fused-ring heterocyclic compound or a pharmaceutically acceptable salt thereof, which has a Wnt signaling inhibitory activity, and is useful as a therapeutic and/or preventive agent for, for example, cancer, pulmonary fibrosis, fibromatosis, osteoarthritis, and the like, and the like can be provided.",C07D40114,C07D40114,20160129,20170926,20160616,66417.0 1,14990359,ACCEPTED,METHOD AND TERMINAL FOR SELECTING AP,"There is provided a method for selecting an access point (AP), the method performed by a user equipment. The method may comprise: receiving a prioritized list with respect to APs, the prioritized list includes at least one of roaming consortium information, a network address identifier (NAI), a public land mobile network (PLMN) identifier; scanning at least one or more APs in the vicinity thereby generating an available list which includes at least one or more service set identifiers (SSIDs) and roaming consortium information; acquiring at least one or more NAIs from the roaming consortium information in the available list using pre-stored mapping information; comparing the acquired NAI with the NAI in the prioritized list to select a proper AP.","1-15. (canceled) 16. A method for selecting an access point (AP), the method performed by a user equipment and comprising: scanning at least one or more APs in the vicinity; generating an available list including at least one or more of a service set identifiers (SSID) and roaming consortium information, which are acquired from the scanning, wherein, if an any AP searched from the scanning corresponds to a hotspot 2.0 based AP, the available list includes both of the SSID and the roaming consortium information; acquiring at least one or more network address identifiers (NAIs) from the roaming consortium information included in the available list based on pre-stored mapping information; and selecting an any AP, based on a comparison of the at least one or more acquired NAI with at least one or more NAIs in a prioritized list with respect to APs. 17. The method of claim 16, further comprising receiving the prioritized list with respect to APs, the prioritized list including at least one of: roaming consortium information, the at least one or more NAIs, and a public land mobile network (PLMN) identifier. 18. The method of claim 17, wherein the acquiring of the NAI includes: extracting an organization unique identifier (OUI) from the roaming consortium information included in the available list; and acquiring an NAI corresponding to the extracted OUI based on the pre-stored mapping information. 19. The method of claim 18, wherein the pre-stored mapping information includes an NAI and a PLMN ID corresponding to an OUI. 20. The method of claim 19, further comprising comparing the PLMN ID acquired from the pre-stored mapping information and the PLMN ID in the prioritized list with each other. 21. The method of claim 20, further comprising: performing an association to a corresponding AP, when an NAI and a PLMN ID which match the NAI and the PLMN ID in the prioritized list are present; and performing authentication by using the PLMN ID. 22. The method of claim 16, wherein the scanning includes: acquiring information on a load and an installation place of the at least one or more APs; excluding any AP which does not match a predetermined condition from the available list; and adding any AP which matches the predetermined condition into the available list. 23. The method of claim 22, wherein the predetermined condition includes at least one of: a condition for the load of the AP and a condition for the load for the installation place of the AP. 24. The method of claim 17, wherein the prioritized list is received from an access network discovery and selection function (ANDSF). 25. A terminal, comprising: a transceiver; and processor configured to: scan at least one or more APs in the vicinity; generate an available list including at least one or more of a service set identifiers (SSID) and roaming consortium information, which are acquired from the scanning, wherein, if an any AP searched from the scanning corresponds to a hotspot 2.0 based AP, the available list includes both of the SSID and the roaming consortium information; acquire at least one or more network address identifiers (NAIs) from the roaming consortium information included in the available list based on pre-stored mapping information; and select an any AP, based on a comparison of the at least one or more acquired NAI with at least one or more NAIs in a prioritized list with respect to APs. 26. The terminal of claim 25, wherein the processor is further configured to receive the prioritized list with respect to APs, the prioritized list includes at least one of roaming consortium information, the at least one or more NAIs, and a public land mobile network (PLMN) identifier. 27. The terminal of claim 26, wherein the acquiring of the processor includes: extracting an organizational unique identifier (OUI) from the roaming consortium information in the available list; and acquiring an NAI corresponding to the extracted OUI by using the pre-stored mapping information. 28. The terminal of claim 27, wherein the pre-stored mapping information includes an NAI and a PLMN ID corresponding to an OUI. 29. The terminal of claim 28, wherein the processor is further configured to compare the PLMN ID acquired from the mapping information and the PLMN ID in the prioritized list with each other. 30. The terminal of claim 29, wherein the processor is further configured to: perform an association to a corresponding AP when an NAI and a PLMN ID which match the NAI and the PLMN ID in the prioritized list are present; and perform authentication by using the PLMN ID. 31. The terminal of claim 25, wherein the scanning of the processor includes: acquiring information on a load and an installation place of the at least one or more APs; excluding any AP which does not match a predetermined condition from the available list; and adding any AP which matches the predetermined condition into the available list. 32. The terminal of claim 31, wherein the predetermined condition includes at least one of: a condition for the load of the AP and a condition for the load for the installation place of the AP."," BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to a method and a terminal for selecting an access point (AP). Discussion of the Related Art A 3GPP that establishes a technology standard of a 3 rd generation mobile communication system has started a research into long term evolution/system architecture evolution (LTE/SAE) technology as part of an effort to optimize and improve performance of 3 GPP technologies from the end of 2004 in order to cope with various forums and new technologies associated with 4 th generation mobile communication. SAE that is progressed around 3GPP SA WG2 is a research into network technology to determine a structure of a network with an LTE work of a 3GPP TSG RAN and support mobility between model networks and one of key standardization issues of the 3GPP. This is a work for developing a 3GPP system to a system that supports various wireless access technologies based on an IP and the work has been progressed for the purpose of an optimized packet based system that minimizes a transmission delay with a further improved data transmission capability. An SAE higher-level reference model defined in the 3GPP SA WG2 includes a non-roaming case and a roaming case of various scenarios, and a detailed content may be referred in TS 23.401 and TS 23.402 which are 3GPP standard documents. A network structure diagram of FIG. 1 shows schematic reconfiguration of the SAE higher-level reference model. FIG. 1 is a Structural Diagram of an Evolved Mobile Communication Network. One of largest features of the network structure of FIG. 1 is based on a 2 tier model of eNodeB of an evolved UTRAN and a gateway of a core network and although accurately matches each other, the eNodeB 20 has functions of NodeB and RNC of an existing UMTS system and the gateway has an SGSN/GGSN function of the existing system. Another key feature is that a control plane and a user plane between an access network and the core network are exchanged to different interfaces. In the existing UMTS system, one lu interface exists between an RNC and an SGSN, while a mobility management entity (MME) 51 that undertakes processing of a control signal has a structure separated from a gateway (GW), and as a result, two interfaces of S1-MME and S1-U are respectively used. The GW includes a serving-gateway (hereinafter, referred to as ‘S-GW’) 52 and a packet data network gateway (hereinafter, referred to as ‘PDN-GW’ or ‘P-GW’) 53 . Meanwhile, in recent years, congestion of a core network of a mobile communication provider has been aggravated with an explosive increase of data. As a scheme for relieving the aggravated congestion, there is a discussion intended to offload data of a user terminal to a wired network without passing through a core network of a provider. As a result of such a discussion, technologies such as IP flow mobility and seamless offload (IFOM), multi access PDN connectivity (MAPCON), etc. for supporting multiple radio access have been proposed. The MAPCON technology establishes PDN connections through their preferred radio access such as 3GPP access or Wi-Fi access and transmits data through the PDN connections. The IFOM technology allows a PDN connection to use 3GPP access and Wi-Fi access simultaneously and transmits data through their preferred access. FIG. 2A is an Exemplary Diagram Illustrating an Example of IFOM Technology. Referring to FIG. 2A , the IFOM provides the same PDN connection through various different accesses simultaneously. The IFOM provides offloading to a seamless WLAN. Further, the IFOM transfers an IP flow of one same PDN connection from one access to another access. FIG. 2B is an Exemplary Diagram Illustrating an Example of MAPCON Technology. As known with reference to FIG. 2B , the MAPCON technology easily connects IP flows of various PDN connections to other APNs through different access systems. According to the MAPCON technology, a UE 10 may create a new PDN connection on an access which is not previously used. Alternatively, the UE 10 may create a new PDN connection to one selected from various accesses which are previously used. Alternatively, the UE 10 may transfer all or some of all PDN connections which are already connected to another access. Technology associated with Wi-Fi interworking includes traffic offloading technology and technology associated with WLAN selection. That is, technology in which a terminal can automatically select a WLAN is standardized (3GPP TS 24.234) and an associated operation is described below. First, the terminal searches neighboring Wi-Fi to create a list of available WLANs. This is a list of SSIDs expressing the WLANs. The created list and a preferred WLAN list are compared with each other to select the most preferred WLAN in the created list. An ANQP query is transmitted to the selected WLAN to acquire PLMN information which is providable by the WLAN. The most preferred PLMN (for example, Home PLMN) is selected by comparing the acquired PLMN information to preferred PLMN information which is stored in advance and is used to access to the corresponding PLMN through an authentication process. FIG. 3 Illustrates an Environment in which a General AP and a Recently Discussed Hotspot 2.0 AP are Present. An traditional HotSpot meant that a Wi-Fi service is provided to an unspecific majority in a public place where a floating population is large. However, with a recent explosive increase in a bandwidth usage, it is difficult to sufficiently provide a bandwidth required as 3 rd generation or 4 th generation mobile communication technology now. In particular, in a commercial area in which a population is dense during the daytime, bandwidth management is actually impossible and a HotSpot 2.0 that makes a mobile communication network in a population dense area interwork with a Wi-Fi network to provide a Vertical Handoff service is researched in order to solve such a problem. The HotSpot 2.0 as a standard developed in Wi-Fi Alliance (WFA) aims at simplifying and automating access to a public Wi-Fi network. A mobile terminal aims at recognizing which AP among various neighboring access points is suitable for a usage purpose thereof and authenticating the corresponding AP from a remote service provider by using appropriate credentials. To this end, the respective APs is allowed to provide new various information, and information indicating whether a specific service provider is connectable, a HotSpot provider, a roaming consortium, Venue information (Venue Group, Venue Type), configuration information can be provided. Herein, the roaming consortium is a group of service providers that make a roaming agreement. Numerous service set identifiers (SSIDs) that are managed for each roaming consortium may be present according to the roaming consortium and a 3GPP provider who cooperates with the roaming consortium may not know all of numerous SSIDs. Accordingly, a HotSpot 2.0 AP 40 c provides roaming consortium information to increase efficiency of management instead of the numerous SSIDs. The roaming consortium information is constituted by a list of a service provider or a company or an agency that made a roaming agreement with the service provider. Herein, information of each company or agency is expressed as an organizational unique identifier (OUI). That is, the roaming consortium information is configured in a list form of OUI 1 , OUI 2 , . . . , OUI_n. Herein, the OUI can be used by being registered in IEEE, and is information which is unique for each agency. Further, the HotSpot 2.0 AP 40 c may provide BSS load information or bandwidth information (for example, WAN Metrics). Meanwhile, as illustrated, under a situation in which the hotspot 2.0 AP 40 c and general APs 40 a and 40 b coexist, the UE 10 receives SSID information from the general APs 40 a and 40 b and the roaming consortium information from the hotspot 2.0 AP 40 c. However, since a 3GPP release 11 based UE 10 which has been developed up to now may select only the general APs 40 a and 40 b based on only the SSID and may not read the roaming consortium information, the UE 10 may not select the hotspot 2.0 AP 40 c. In detail, the UE 10 provides only SSID information in order to select the AP in a 3GPP network in prior art. According to 3GPP release 11, AP selection, that is, WLAN selection has been developed aiming at selecting a public land mobile network (PLMN). As a result, the UE 10 receives SSIDs broadcasted from the APs 40 a and 40 b to create an available list and thereafter, accesses respective APs in order of the SSIDs selected by comparing a preference list stored in advance and information on the created list and reads PLMN list information supported by the APs. The PLMN information is also compared with a preference PLMN list to select a PLMN having the highest preference. When the PLMN selection is completed, the AP is accessed by using the corresponding SSID to access the corresponding PLMN. As described above, since the 3GPP release 11 based UE 10 which has been developed up to now may select only the general APs 40 a and 40 b based on only the SSID and may not select the hotspot 2.0 AP 40 c."," SUMMARY OF THE INVENTION The present disclosure has been made in an effort to allow a UE to correctly select an AP. In detail, the present disclosure has been made in an effort to provide a scheme that allows a recently developed Hotspot 2.0 to be used even in a 3GPP network system. In particular, the present disclosure has been made in an effort to enhance a method for selecting an AP by using information provided by a Hotspot 2.0 AP. In one aspect, there is provided a method for selecting an access point (AP), the method performed by a user equipment. The method may comprise: receiving a prioritized list with respect to APs, the prioritized list includes at least one of roaming consortium information, a network address identifier (NAI), a public land mobile network (PLMN) identifier; scanning at least one or more APs in the vicinity thereby generating an available list which includes at least one or more service set identifiers (SSIDs) and roaming consortium information; acquiring at least one or more NAIs from the roaming consortium information in the available list using pre-stored mapping information; comparing the acquired NAI with the NAI in the prioritized list to select a proper AP. The acquiring of the NAI may include: extracting an organization unique identifier (OUI) from the roaming consortium information in the available list; and acquiring an NAI corresponding to the extracted OUI by using the pre-stored mapping information. The pre-stored mapping information may include an NAI and a PLMN ID corresponding to an OUI. The method may further comprise: comparing the PLMN ID acquired from the mapping information and the PLMN ID in the preference list with each other. The method may further comprise: associating, when an NAI and a PLMN ID which match the NAI and the PLMN ID in the preference list are present, a corresponding AP; and performing authentication by using the PLMN ID. The scanning may include: receiving information on a load and an installation place of the corresponding AP through the AP scanning; excluding an AP which does not match a predetermined condition from the available list; and filling the AP which matches the predetermined condition in the available list. The predetermined condition may include at least one of a condition for the load of the AP and a condition for the load for the installation place of the AP. an entity in a network providing the preference list is an access network discovery and selection function (ANDSF). In one aspect, there is provided a terminal comprising: a transmitting/receiving unit receiving a prioritized list with respect to APs from an entity in a network, the prioritized list includes at least one of a roaming consortium information, a network address identifier (NAI), and a public land mobile network (PLMN) identifier; and a processor scanning APs in the vicinity thereby generating an available list including a service set identifier (SSID) and the roaming consortium information, acquiring an NAI from the roaming consortium information in the available list, and comparing the acquired NAI with an NAI in the preference list. According to the present disclosure, a UE that supports a Hotspot 2.0 AP can effectively select an AP. Further, according to the present disclosure, a time required to select the AP can be significantly reduced.","This application claims the benefit of priority of U.S. Provisional applications No. 61/753,939 filed on Jan. 18, 2013, No. 61/767,196 filed on Feb. 20, 2013, No. 61/807,301 filed on Apr. 1, 2013, No. 61/818,916 filed on May 3, 2013, No. 61/821,667 filed on May 9, 2013, and No. 61/821,725 filed on May 10, 2013, of which are incorporated by reference in their entirety herein. BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to a method and a terminal for selecting an access point (AP). Discussion of the Related Art A 3GPP that establishes a technology standard of a 3rd generation mobile communication system has started a research into long term evolution/system architecture evolution (LTE/SAE) technology as part of an effort to optimize and improve performance of 3 GPP technologies from the end of 2004 in order to cope with various forums and new technologies associated with 4th generation mobile communication. SAE that is progressed around 3GPP SA WG2 is a research into network technology to determine a structure of a network with an LTE work of a 3GPP TSG RAN and support mobility between model networks and one of key standardization issues of the 3GPP. This is a work for developing a 3GPP system to a system that supports various wireless access technologies based on an IP and the work has been progressed for the purpose of an optimized packet based system that minimizes a transmission delay with a further improved data transmission capability. An SAE higher-level reference model defined in the 3GPP SA WG2 includes a non-roaming case and a roaming case of various scenarios, and a detailed content may be referred in TS 23.401 and TS 23.402 which are 3GPP standard documents. A network structure diagram of FIG. 1 shows schematic reconfiguration of the SAE higher-level reference model. FIG. 1 is a Structural Diagram of an Evolved Mobile Communication Network. One of largest features of the network structure of FIG. 1 is based on a 2 tier model of eNodeB of an evolved UTRAN and a gateway of a core network and although accurately matches each other, the eNodeB 20 has functions of NodeB and RNC of an existing UMTS system and the gateway has an SGSN/GGSN function of the existing system. Another key feature is that a control plane and a user plane between an access network and the core network are exchanged to different interfaces. In the existing UMTS system, one lu interface exists between an RNC and an SGSN, while a mobility management entity (MME) 51 that undertakes processing of a control signal has a structure separated from a gateway (GW), and as a result, two interfaces of S1-MME and S1-U are respectively used. The GW includes a serving-gateway (hereinafter, referred to as ‘S-GW’) 52 and a packet data network gateway (hereinafter, referred to as ‘PDN-GW’ or ‘P-GW’) 53. Meanwhile, in recent years, congestion of a core network of a mobile communication provider has been aggravated with an explosive increase of data. As a scheme for relieving the aggravated congestion, there is a discussion intended to offload data of a user terminal to a wired network without passing through a core network of a provider. As a result of such a discussion, technologies such as IP flow mobility and seamless offload (IFOM), multi access PDN connectivity (MAPCON), etc. for supporting multiple radio access have been proposed. The MAPCON technology establishes PDN connections through their preferred radio access such as 3GPP access or Wi-Fi access and transmits data through the PDN connections. The IFOM technology allows a PDN connection to use 3GPP access and Wi-Fi access simultaneously and transmits data through their preferred access. FIG. 2A is an Exemplary Diagram Illustrating an Example of IFOM Technology. Referring to FIG. 2A, the IFOM provides the same PDN connection through various different accesses simultaneously. The IFOM provides offloading to a seamless WLAN. Further, the IFOM transfers an IP flow of one same PDN connection from one access to another access. FIG. 2B is an Exemplary Diagram Illustrating an Example of MAPCON Technology. As known with reference to FIG. 2B, the MAPCON technology easily connects IP flows of various PDN connections to other APNs through different access systems. According to the MAPCON technology, a UE 10 may create a new PDN connection on an access which is not previously used. Alternatively, the UE 10 may create a new PDN connection to one selected from various accesses which are previously used. Alternatively, the UE 10 may transfer all or some of all PDN connections which are already connected to another access. Technology associated with Wi-Fi interworking includes traffic offloading technology and technology associated with WLAN selection. That is, technology in which a terminal can automatically select a WLAN is standardized (3GPP TS 24.234) and an associated operation is described below. First, the terminal searches neighboring Wi-Fi to create a list of available WLANs. This is a list of SSIDs expressing the WLANs. The created list and a preferred WLAN list are compared with each other to select the most preferred WLAN in the created list. An ANQP query is transmitted to the selected WLAN to acquire PLMN information which is providable by the WLAN. The most preferred PLMN (for example, Home PLMN) is selected by comparing the acquired PLMN information to preferred PLMN information which is stored in advance and is used to access to the corresponding PLMN through an authentication process. FIG. 3 Illustrates an Environment in which a General AP and a Recently Discussed Hotspot 2.0 AP are Present. An traditional HotSpot meant that a Wi-Fi service is provided to an unspecific majority in a public place where a floating population is large. However, with a recent explosive increase in a bandwidth usage, it is difficult to sufficiently provide a bandwidth required as 3rd generation or 4th generation mobile communication technology now. In particular, in a commercial area in which a population is dense during the daytime, bandwidth management is actually impossible and a HotSpot 2.0 that makes a mobile communication network in a population dense area interwork with a Wi-Fi network to provide a Vertical Handoff service is researched in order to solve such a problem. The HotSpot 2.0 as a standard developed in Wi-Fi Alliance (WFA) aims at simplifying and automating access to a public Wi-Fi network. A mobile terminal aims at recognizing which AP among various neighboring access points is suitable for a usage purpose thereof and authenticating the corresponding AP from a remote service provider by using appropriate credentials. To this end, the respective APs is allowed to provide new various information, and information indicating whether a specific service provider is connectable, a HotSpot provider, a roaming consortium, Venue information (Venue Group, Venue Type), configuration information can be provided. Herein, the roaming consortium is a group of service providers that make a roaming agreement. Numerous service set identifiers (SSIDs) that are managed for each roaming consortium may be present according to the roaming consortium and a 3GPP provider who cooperates with the roaming consortium may not know all of numerous SSIDs. Accordingly, a HotSpot 2.0 AP 40c provides roaming consortium information to increase efficiency of management instead of the numerous SSIDs. The roaming consortium information is constituted by a list of a service provider or a company or an agency that made a roaming agreement with the service provider. Herein, information of each company or agency is expressed as an organizational unique identifier (OUI). That is, the roaming consortium information is configured in a list form of OUI1, OUI2, . . . , OUI_n. Herein, the OUI can be used by being registered in IEEE, and is information which is unique for each agency. Further, the HotSpot 2.0 AP 40c may provide BSS load information or bandwidth information (for example, WAN Metrics). Meanwhile, as illustrated, under a situation in which the hotspot 2.0 AP 40c and general APs 40a and 40b coexist, the UE 10 receives SSID information from the general APs 40a and 40b and the roaming consortium information from the hotspot 2.0 AP 40c. However, since a 3GPP release 11 based UE 10 which has been developed up to now may select only the general APs 40a and 40b based on only the SSID and may not read the roaming consortium information, the UE 10 may not select the hotspot 2.0 AP 40c. In detail, the UE 10 provides only SSID information in order to select the AP in a 3GPP network in prior art. According to 3GPP release 11, AP selection, that is, WLAN selection has been developed aiming at selecting a public land mobile network (PLMN). As a result, the UE 10 receives SSIDs broadcasted from the APs 40a and 40b to create an available list and thereafter, accesses respective APs in order of the SSIDs selected by comparing a preference list stored in advance and information on the created list and reads PLMN list information supported by the APs. The PLMN information is also compared with a preference PLMN list to select a PLMN having the highest preference. When the PLMN selection is completed, the AP is accessed by using the corresponding SSID to access the corresponding PLMN. As described above, since the 3GPP release 11 based UE 10 which has been developed up to now may select only the general APs 40a and 40b based on only the SSID and may not select the hotspot 2.0 AP 40c. SUMMARY OF THE INVENTION The present disclosure has been made in an effort to allow a UE to correctly select an AP. In detail, the present disclosure has been made in an effort to provide a scheme that allows a recently developed Hotspot 2.0 to be used even in a 3GPP network system. In particular, the present disclosure has been made in an effort to enhance a method for selecting an AP by using information provided by a Hotspot 2.0 AP. In one aspect, there is provided a method for selecting an access point (AP), the method performed by a user equipment. The method may comprise: receiving a prioritized list with respect to APs, the prioritized list includes at least one of roaming consortium information, a network address identifier (NAI), a public land mobile network (PLMN) identifier; scanning at least one or more APs in the vicinity thereby generating an available list which includes at least one or more service set identifiers (SSIDs) and roaming consortium information; acquiring at least one or more NAIs from the roaming consortium information in the available list using pre-stored mapping information; comparing the acquired NAI with the NAI in the prioritized list to select a proper AP. The acquiring of the NAI may include: extracting an organization unique identifier (OUI) from the roaming consortium information in the available list; and acquiring an NAI corresponding to the extracted OUI by using the pre-stored mapping information. The pre-stored mapping information may include an NAI and a PLMN ID corresponding to an OUI. The method may further comprise: comparing the PLMN ID acquired from the mapping information and the PLMN ID in the preference list with each other. The method may further comprise: associating, when an NAI and a PLMN ID which match the NAI and the PLMN ID in the preference list are present, a corresponding AP; and performing authentication by using the PLMN ID. The scanning may include: receiving information on a load and an installation place of the corresponding AP through the AP scanning; excluding an AP which does not match a predetermined condition from the available list; and filling the AP which matches the predetermined condition in the available list. The predetermined condition may include at least one of a condition for the load of the AP and a condition for the load for the installation place of the AP. an entity in a network providing the preference list is an access network discovery and selection function (ANDSF). In one aspect, there is provided a terminal comprising: a transmitting/receiving unit receiving a prioritized list with respect to APs from an entity in a network, the prioritized list includes at least one of a roaming consortium information, a network address identifier (NAI), and a public land mobile network (PLMN) identifier; and a processor scanning APs in the vicinity thereby generating an available list including a service set identifier (SSID) and the roaming consortium information, acquiring an NAI from the roaming consortium information in the available list, and comparing the acquired NAI with an NAI in the preference list. According to the present disclosure, a UE that supports a Hotspot 2.0 AP can effectively select an AP. Further, according to the present disclosure, a time required to select the AP can be significantly reduced. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a structural diagram of an evolved mobile communication network. FIG. 2A is an exemplary diagram illustrating an example of IFOM technology. FIG. 2B is an exemplary diagram illustrating an example of MAPCON technology. FIG. 3 illustrates an environment in which a general AP and a recently discussed hotspot 2.0 AP are present. FIGS. 4A and 4B illustrate a network control entity for selecting an access network. FIG. 5 is an exemplary diagram illustrating an environment assumed in this specification. FIG. 6 is a flowchart illustrating a scheme according to a first exemplary embodiment presented in this specification under the environment illustrated in FIG. 5. FIG. 7 is a flowchart illustrating a scheme according to a second exemplary embodiment presented in this specification under the environment illustrated in FIG. 5. FIG. 8 is a flowchart illustrating a scheme according to a third exemplary embodiment presented in this specification under the environment illustrated in FIG. 5. FIG. 9 is a configuration block diagram of a UE 100 and an ANDSF 600 according to the present disclosure. DETAILED DESCRIPTION OF THE EMBODIMENTS Hereinafter, terms used in the specification will be defined in brief in order to assist understanding the present invention before a description referring the accompanying drawings. UMTS: means a 3rd generation mobile communication network as an abbreviation of a Universal Mobile Telecommunication System EPS: Means a core network supporting a long term evolution (LTE) network as an abbreviation of Evolved Packet System. Network evolved from the UMTS PDN (Public Data Network): An independent network at which a server providing a service is positioned APN (Access Point Name): Provided to UE as a name of an access point managed in the network. That is, the APN indicates a name (string) of the PDN. The corresponding PDN for transmitting and receiving data is decided based on the name of the access point. NodeB: Installed outdoor as a base station of the UMTS network and a cell coverage scale corresponds to a macro cell. eNodeB: Installed outdoor as a base station of an evolved packet system (EPS) and the cell coverage scale corresponds to the macro cell. MME: Serves to control each entity in the EPS in order to provide a session for the UE and mobility as an abbreviation of Mobility Management Entity. Session: The session is a passage for data transmission and the unit thereof may be PDN, bearer, IP flow unit, or the like. The respective units may be divided into a whole unit (APN or PDN unit) of a target network, a unit (Bearer unit) divided as a QoS therein, and a destination IP address unit. PDN connection: Indicates connection from the terminal to the PDN, that is, association (connection) between the terminal expressed as the IP address and the PDN expressed as the APN. The PDN connection means connection between entities (terminal—PDN GW) in the core network so as to form the session. UE Context: UE context information used to manage the UE in the network, that is, context information constituted by a UE id, mobility (present location, and the like), an attribute (QoS, priority, and the like) of the session Service Set ID (SSID): an identifier of a WLAN AP defined in the IEEE 802.11 ANDSF (Access Network Discovery and Selection Function): As one network entity, a policy is provided to discover and select access which the terminal can use by the unit of the provider Brief Description of Technology Presented in Specification Meanwhile, hereinafter, schemes presented in the specification will be described below in brief. First, a 3GPP based access network discovery and selection function (ANDSF) performs a network search function and a data management and control function for providing selective assistance data according to a provider policy. The existing ANDSF needs to designate an ID of a WLAN in order to decide access preference and the ID may be provided only in a form of a service set identifier. However, an ANDSF enhanced according to an embodiment of the present invention may provide a preference list defined by using information provided an Hotspot 2.0 AP which has been recently developed. For example, a preference list is constituted by a network address ID (for example, a network address identifier (NAI) realm) of a provider or a roaming consortium organizational identifier (OI) in addition to the SSID. The NAI realm information is address information of a character string pattern such as attwireless.com. Both information of the OUI and the NAI realm is different individual information having different formats, but a service provider may express itself by using the two formats due to the common point of expressing service providers. FIGS. 4A and 4B Illustrate a Network Control Entity for Selecting an Access Network. As known with reference to FIG. 4A, the ANDSF may be present in a home public land mobile network (hereinafter, ‘HPLMN’) of a UE 100. Further, as known with reference to FIG. 4B, the ANDSF may be present even in a visited public land mobile network (hereinafter, referred to as ‘VPLMN’) of the UE 100. As such, when the ANDSF is positioned on the home network, the ANDSF may be called H-ANDSF 610 and when the ANDSF is positioned on the visited network, the ANDSF may be called V-ANDSF 620. Hereinafter, the ANDSF 600 is commonly called the H-ANDSF 610 or the V-ANDSF 620. The ANDSF may respond to a request of the UE to access network discovery information and further, transmit information as necessary even though there is no request from the UE. The ANDSF may provide information on an inter-system mobility policy, information for discovery of the access network, and information on an inter-system routing, for example, a routing rule. The information on the routing, for example, the routing rule may include an AccessTechnology, an AccessId, AccessNetworkPriority, and the like. Technology called the ANDSF is started as technology that provides a policy for mobility between heterogeneous network. However, according to a disclosure of the specification, when legacy APs and the Hotspot 2.0 APs are present in plural, ANDSF provides information for the UE to select any AP. A detailed example will be described with reference to FIG. 5. FIG. 5 is an Exemplary Diagram Illustrating an Environment Assumed in this Specification. FIG. 6 is a Flowchart Illustrating a Scheme According to a First Exemplary Embodiment Presented in this Specification Under the Environment Illustrated in FIG. 5. As known with reference to FIG. 5, it is assumed that general APs 400a and 400b are present and several Hotspot 2.0 APs 400c, 400d, and 400e are present. As illustrated, the general APs 400a and 400b broadcast the SSID. The hotspot 2.0 APs 400c, 400d, and 400e broadcast roaming consortium information as well as SSID. Further, the hotspot APs 400c, 400d, and 400e provide information on an access network type regarding whether each hotspot AP 400c, 400d, or 400e is private, public, free, or personal. Further, the hotspot APs 400c, 400d, and 400e may provide venue information. For example, the hotspot APs 400c, 400d, and 400e may provide place type information regarding whether an installation space is, for example, a school, a hospital, a hotel, an office, a home, or the like. The hotspot APs 400c, 400d, and 400e are connected with access network query protocol based servers 800a, 800b, and 800c illustrated, respectively. The ANQP based servers 800a, 800b, and 800c provide the NAI realm according to a request. Hereinafter, information provided through Hotspot 2.0 technology which is organized will be illustrated in Table 1 below. TABLE 1 Information provided through Information broadcasted in AP ANQP based server 1. SSID 1. Roaming Consortium ID list 2. Roaming Consortium ID 2. NAI Realm list 3. Venue information (Venue Group, Venue Type) 4. BSS Load information Meanwhile, according to related art, the ANDSF 600 provides only SSID information for selecting an access network, for example, an AP. However, according to embodiments presented in the specification, the ANDSF 600 may provide the preference list using the roaming consortium information or the NAI realm information in addition to the SSID. The preference list is illustrated in Table 2. TABLE 2 PrioritizedAccess AccessTechnology AccessId SecondaryAccessId AccessNetworkPriority NAI Realm Roaming Consortium ID The preference list illustrated in Table 2 above shows preferred accesses which are arranged. The preferred accesses may include access technology, an access ID, a secondary access ID, an access network priority, NAI realm, a roaming consortium ID, and the like. The NAI realm and the roaming consortium ID may be designated in plural and expressed according to the priority. Then, as illustrated in FIG. 6, the UE 100 acquires information from several APs 400a, 400b, 400c, 400d, and 400e and compares the information with the information in the preference list to select any one AP. In detail, if a predetermined AP among several APs 400a, 400b, 400c, 400d, and 400e is the hotspot 2.0 AP (S110), the UE 100 acquires the broadcasted SSID and roaming consortium ID (S120). Subsequently, the UE 100 creates an available list by using the acquired information (for example, the SSID or the roaming consortium ID) (S130). In addition, the UE 100 compares the SSID or the roaming consortium ID in the available list with the SSID or the roaming consortium ID in the preference list illustrated in FIG. 6 (S140). According to a result of the comparison, if the matched SSID is the highest priority, PLMN information is acquired by accessing the corresponding AP (S170). Subsequently, a public land mobile network (PLMN) ID acquired from the corresponding AP and information of a preferred PLMN list are compared with each other (S180). When the PLMN ID is matched, the PLMN is selected and authentication is performed (S190). On the contrary, according to the comparison result (S140), if the roaming consortium ID which is matched has the highest priority, the NAI realm information is requested and acquired from the ANQP based servers 800a, 800b, and 800c of the corresponding AP (S150). Subsequently, the acquired NAI realm and the NAI realm in the preference list are compared with each other (S160). When the NAI realm is matched, the authentication is performed by using the NAI realm (S190). As described above, under an environment in which the general APs 400a and 400b, and the hotspot 2.0 APs 400c, 400d, and 400e coexist, information broadcasted by the respective APs may be different from each other and the UE 100 may select any one AP according to the preference list provided by the ANDSF 600. However, according to the first embodiment, in order to select and access the hotspot 2.0 AP, the NAI realm is queried to the ANQP based servers 800a, 800b, and 800c and acquired and thereafter, compared with the NAI realm in the preference list provided by the ANDSF 600. However, a time required to query and acquire the NAI realm is relatively longer than a time required to acquire the SSID. In the worst case, when the number of hotspot 2.0 APs is considerably large, quite a long time may be taken to query and acquire the NAI realm to the ANQP based servers of all APs. Meanwhile, the hotspot 2.0 APs 400c, 400d, and 400e provide various additional information, the venue information (Venue Group and Venue Type), BSS load information, and the like, but according to the first embodiment, the information may not be used in selecting the AP. For example, even though an AP having a large load may be allowed not to be selected when load information is used, the information may not be used in the first embodiment. Accordingly, other embodiments capable of solving a disadvantage of the first embodiment will be described. A method according to other embodiments will be summarized below in brief in order to assist understanding. As one method, the UE 100 may store the preference list for the SSID or the roaming consortium ID (OUI) in advance in order to rapidly select the AP. As another method, since the NAI realm represents the network address ID of the provider and the OUI in the roaming consortium ID represents information on each company or agency, the NAI realm and the OUI have a correspondence relationship. For example of a company in LG, the OUI may be LGI and the NAI realm may be LG.com. Accordingly, when the UE 100 stores mapping information indicating the correspondence relationship in advance and thereafter, receives the preference list from the ANDSF server, the NAI realm in the corresponding preference list is converted into the OUT according to the mapping information and compared with the OUI in the roaming consortium broadcasted from the hotspot 2.0 AP to select an AP to be accessed. Subsequently, the PLMN ID is acquired from the accessed AP and a best PLMN or service provider is selected and authenticated. As another method, the UE 100 stores service provider information (for example, NAI realm(s) and PLMN ID) which is accessible for each OUI in advance, rapidly selects the AP by using the stored information, and moreover, completes even authentication. FIG. 7 is a Flowchart Illustrating a Scheme According to a Second Exemplary Embodiment Presented in this Specification Under the Environment Illustrated in FIG. 5. First, according to the IEEE 802.11 standard, since the roaming consortium ID is defined as “each OI (Organizational Identifier) identifies an SSP (Subscription Service Provider) or group of SSPs (i.e, a roaming consortium)” and the OI is expressed as the OUI, the OUI may be acquired through the roaming consortium ID. Further, for example, since the OUI is expressed as LGI and the NAI realm is expressed as LG.com, the second embodiment enables more rapid AP selection by using the correspondence relationship between the NAI realm and the OUI. That is, according to the second embodiment, an appropriate AP may be selected even without directly using the ANQP query to the AP by appropriately using broadcasted information. First, when an associated AP fails to access at the time of attempting access by selecting the best PLMN or service provider, another AP is associated to attempt access again by selecting the best PLMN or the service provider. As such, an AP to be access is selected depending on only the broadcasted information to increase a probability of acquiring a best result within a short time. Further, the NAI realm is not queried and requested from an ANQP server of an AP not to be actually accessed, and as a result, unnecessary signaling generation may be reduced. Meanwhile, according to the second embodiment, the ANDSF exemplarily provides an enhanced preference list illustrated in Table 3. As known with reference to Table 3, the enhanced preference list may include a list (for example, Operator_Controlled_WLAN_Specific_identifier_List) for selecting the AP (that is, the WLAN) and a list (for example, Operator_Controlled_PLMN_Selector_for_WLAN_access_List) for selecting the PLMN. Unlike Table 2, in Table 3, the roaming consortium ID is included in the list for selecting the AP (that is, the WLAN) and the NAI realm is included in the list for selecting the PLMN. TABLE 3 Operator_Controlled_WLAN_Specific_identifier_List SSID Roaming Consortium ID Priority Operator_Controlled_PLMN_Selector_for_WLAN_access_List NAI Realm PLMN_ID Priority The list (for example, Operator_Controlled_WLAN_Specific_identifier_List) for selecting the AP (that is, the WLAN) is used to select an AP which the UE 100 will associate. The list for selecting the AP (that is, the WLAN) may include at least one of the SSID and the roaming consortium ID, and any of them can take precedence over the other one according to the priority. If the priority is not designated, the priority may be substituted with an order disclosed in the list. Alternatively, the NAI realm may be described instead of the roaming consortium ID. In this case, as described above, the mapping information indicating the corresponding relationship between the OUI and the NAI realm in the roaming consortium information is required. That is, the mapping information may be provided by a server or stored in a terminal through the provider or network configuration. The mapping information may include the PLMN ID in addition to the correspondence relationship between the OUI and the NAI realm. Meanwhile, plural PLMN ids and NAI realms may be described in the list for selecting the PLMN and a priority among the plural PLMN ids and NAI realms may be decided by the priority. The list is intended to be used for selecting the PLMN, but alternatively, may be referred even when the UE 100 creates the available list. That is, the UE 100 may extract/estimate the roaming consortium ID by using the NAI realm information of the list for selecting the PLMN and thus, select an AP to be first associated by using the OUI. In this case, the priorities of the list for selecting the AP (that is, the WLAN) and the list are equally used or any one list may be preferentially used. According to the second embodiment, an overall process is progressed by an order of a scanning process (S210 to S230), an AP selecting process (S240), and a PLMN selecting process (S250 to S270) are progressed in sequence. Each process will be described below. In the scanning process (S210 to S230), the UE 100 acquires only the SSID in the case of not the Hotspot 2.0 AP but the legacy AP (S215), acquires the SSID and the roaming consortium information (including the OUI) broadcasted from the Hotspot 2.0 AP (S220), and creates the available list (S230). For example, an example of the available list created when three neighboring hotspot 2.0 APs 400c, 400d, and 400e are searched like the environment illustrated in FIG. 5 is illustrated below. TABLE 4 Ex.) Available WSID list: (SSID2,OUI2), (SSID4, OUI4), (SSID6, OUI6) Next, when the AP selecting process (S240) is described, the UE 100 first compares the information in the available list and information defined in the list for selecting the AP (that is, the WLAN) of Table 3 for each priority. For example, it is assumed that the list (WLAN_Specific_identifier_List) for selecting the AP (that is, the WLAN) is illustrated as an example in a table below. TABLE 5 Ex.) WLAN_Specific_identifier_List: (—,OUI1), (—, OUI2), (SSID3, OUI3), (SSID4, —) Since a part expressed as ‘−’ is not designated, the part is analyzed as any. When the available list exemplified above and the list for selecting the AP (that is, the WLAN) exemplified above are compared with each other, an AP is selected in order of (−, OUI2) and (SSID4, −). Next, the PLMN selecting process (S250 to S270) will be described below. First, the UE 100 converts the roaming consortium information in the available list for the selected AP into the NAI realm by using the mapping information (S250). As described above, the OUI in the roaming consortium information may represent the service provider or a group thereof. That is, since one OUI represents various service providers, one OUI is associated with various service providers. Accordingly, when the AP supports a specific OUI, the UE 100 may access a service provider network associated with the OUI. In this case, the authentication process (S290) to be described below is performed in order to access the service provider network, and the NAI realm and the PLMN ID information acquired through the mapping information may be used in the authentication process (S290) to be described below. The mapping information may be transferred in the network or stored in the terminal in advance in a form of a policy or set-up. A table below illustrates an example thereof. TABLE 6 OUI_i = {ServiceProvider_1(NAI_11, NAI_12,PLMN_id_13...), ServiceProvider_2(NAI_21, NAI_22, ...), ... , ServiceProvider_n(NAI_n1, NAI_n2,...)} Alternatively, when there is no mapping information, the NAI realm and the PLMN ID may be acquired by querying to the ANQP 800a, 800b, or 800c of each AP. Then, the UE 100 compares the NAI realm acquired from the ANQP 800a, 800b, or 800c of each AP with the NAI realm/PLMN ID in the list (for example, Operator_Controlled_PLMN_Selector_for WLAN_access_List) for the NAI realm in the preference list, that is, the PLMN (S260). When the NAI realm/PLMN ID is matched, the UE 100 associates the NAI realm/PLMN ID to the corresponding AP, the UE 100 performs authentication, for example, AAA authentication (S290). However, when the NAI realm/PLMN ID of the corresponding AP does not match the NAI realm/PLMN ID in the preference list, the aforementioned processes are repeated with respect to another AP in the available list. Meanwhile, the UE 100 may appropriately modify the NAI by considering the situation of the Home PLMN or the Visited PLMN at the time of performing the authentication. That is, the UE 100 selects a service provider which is preferred in the corresponding OUI, and modifies the NAI according to the PLMN so that the UE accesses to the PLMN. Meanwhile, when the UE 100 selects the specific AP as described above, the service provider is thereafter selected by comparing the NAI realm and when the same preference is provided, the service provider may be selected by using individual preference lists. Further, like an OUI_i list illustrated in Table 6 above, several service providers may be arranged with respect to one OUI and the priority may be given to the order. In a general case, if a Home provider of the UE 100 is included in the corresponding roaming consortium, the UE 100 hopes accessing primarily by using the Home provider and even in remaining cases, a service provider which is preferred may be selected according to a roaming agreement. Accordingly, the authentication may be performed according to the corresponding order. The corresponding home provider may be preferred by using an indicator or a setting value in order to preferentially select the home provider. Further, the OUI_i list is stored based on a record which is succeeded after access through method 1 and thereafter, may be used at the time of an attempt. Optionally, the UE 100 may perform authentication by an option below by using the service provider (NAI realm) acquired through the query to the ANQP server 800a, 800b, or 800c by accessing the AP in the order of the preference OUI. A predetermined service provider (NAI realm) among the service providers in the OUI having the highest preference is selected and authenticated. (without a priority list of the service provider) The service provider having the highest preference is selected among the service providers in the OUI having the highest preference (the priority list of the service provider which is preferred for each OUI is used). Authentication is performed with the service provider having the highest priority among the service providers (NAI realms) that receive responses from the ANQP 800a, 800b, and 800c of the APs of all OUIs (a priority list of common service providers is used). In this case, Home or the PLMN preferred during roaming may be designated and selected. According to the second embodiment illustrated in FIG. 7 as above, when N APs are present therearound, the number of operating times may be reduced as illustrated in Table 7 below. First, the scanning process is performed similarly to N neighboring APs. The number of querying times to the ANQP server requires average N/twice because the NAI realm information or the PLMN information is acquired by arbitrary visitation in the case of the method by the first embodiment. However, according to the second embodiment, the access is performed by considering the priority in the OUI information acquired from the broadcasted roaming consortium ID. In this case, when a correlation between the OUI in the mapping information and the NAI realm or the PLMN is high (that is, when the correlation is 1), the access is performed only once. When the correlation is low, since the method is the same as the existing method even in the worst case, the access may be performed at N/twice. The average number is set as N/4, but when the available list is substantially searched, it is possible that the successful access can be achieved with one or two times of trial. TABLE 7 Full search Present invention # of Scanning N N # of ANQP (when N/2 N/2 OUI/Realm, PLMN id has no correlation) # of ANQP (when OUI/ N/2 1 Realm, PLMN id has a strong correlation) # of ANQP (an N/2 N/4 average of two above cases) FIG. 8 is a flowchart illustrating a scheme according to a third exemplary embodiment presented in this specification under the environment illustrated in FIG. 5. In the third embodiment, an AP selection is enhanced by using state information. As described above, the Hotspot 2.0 APs 400a, 400c, and 400e broadcast various information indicating a state or a feature of the AP, for example, venue information (Venue Group and Venue Type), BSS load information and the like. Therefore, according to the third embodiment, the UE 100 considers broadcasted additional information and when the additional information does not match a predetermined condition, the UE 100 excludes the APs from the available list. The predetermined condition may be expressed as follows. TABLE 8 Venue Type:any BSS Load < 70 In detail, referring to FIG. 8, the UE 100 acquires various information indicating the state or feature from the hotspot 2.0 APs 400a, 400c, and 400e, for example, the venue information (Venue Group and Venue Type), the BSS load information, and the like in addition to the SSID and the roaming consortium information according to the third embodiment. In addition, the UE 100 excludes the AP that does not match the predetermined condition while creating the available list (S330). As such, the available list may be more simplified by excluding the AP which does not match the condition. Meanwhile, when the load information is used among the aforementioned various additional information, the UE 100 may select a less-loaded AP. When there is no less-loaded AP, another radio access technology (RAT) may be alternatively selected. Since other processes illustrated in FIG. 8 are similar as the processes of FIG. 7, a detailed description thereof will be omitted. Contents which have been described up to now will be organized as below. The present specification addresses the key issue of “Support WLAN access through roaming agreements. However, it is applicable also to scenarios where WLAN access is provided without roaming agreements. The present specification proposes to extend the ANDSF selection policies to support also selection policies based on the Realms and/or the Organizational Unique Identifiers (OUIs) which are supported by Hotspot 2.0 compliant WLAN networks. The ANDSF may send policies to UE based on Realms and/or OUIs to indicate for example that “WLANs that interwork with Realm=PartnerX.com have the highest access priority”. The UE uses the Realms and/or OUIs as an alternative way (instead of using SSID) to identify and prioritize the discovered WLAN access networks. A Hotspot 2.0 compliant UE is capable to discover the Realms and/or OUIs supported by a specific WLAN access network prior to association by using the applicable discovery procedures (e.g. based on the ANQP protocol) and/or by receiving the beacon transmissions of APs (some OUIs are included in the AP beacon messages). Roaming consortium OI is an identifier representing an SSP (Subscription service provider) or group of SSPs. One or more service providers can be members of one roaming consortium OI. When a WLAN AP is selected based on roaming consortium OI, a preferred service provider should be derived from the roaming consortium. Therefore, the ANDSF MO is enhanced so that a roaming consortium OI has a list of preferred 3GPP service providers (e.g. realms) including Home PLMN. This list is used by the UE to select a preferred service provider which is related to the preferred roaming consortium. That is, once a preferred roaming consortium is selected, a preferred service provider is selected from the members of the preferred roaming consortium. This can be done by comparing the service providers captured from the WLAN AP and the preferred service provider list of the roaming consortium OI. If a service provider is selected, the UE (i) constructs a NAI (e.g. decorated NAI for VPLMN) when it attempts EAP-AKA authentication over a selected WLAN access network. This allows a UE to select the preferred 3GPP service provider to authenticate with upon selecting WLAN based, among other information, on the list of roaming consortium that the UE may discover from the WLAN AP, e.g. by means of HS2.0 ANQP query or beacon message if the AP is HS2.0 capable. The methods described above may be implemented by hardware. The implementation of the hardware will be described with reference to FIG. 9. FIG. 9 is a Configuration Block Diagram of a UE 100 and an ANDSF 600 According to the Present Disclosure. As illustrated in FIG. 9, the UE 100 includes a storage means 101, a controller 102, and a transmitting/receiving unit 103. In addition, the ANDSF 600 includes a storage means 601, a controller 602, and a transmitting/receiving unit 603. The storage means 101 and 601 stores the aforementioned methods. The controllers 102 and 112 control the storage means 101 and 601 and the transmitting/receiving units 103 and 603. In detail, the controllers 102 and 602 execute the methods stored in the storage means 101 and 601. In addition, the controllers 102 and 602 transmit the aforementioned signals through the transmitting/receiving units 103 and 603. Although preferable embodiments of the present invention has been exemplarily described as above, the scope of the present invention is limited to only the specific embodiments, and as a result, various modifications, changes, or enhancements of the present invention can be made within the spirit of the present invention and the scope disclosed in the appended claims.",H04W4820,H04W4820,20160107,20180717,20170713,61702.0 2,15003726,PENDING,COMPOSITIONS AND METHODS FOR TREATMENT OF CANCER USING BACTERIA,"Provided herein are compositions comprising substantially non-viable Gram-negative bacterial organisms that have a substantial reduction in endotoxin activity and/or pyrogenicity and methods for treating a cancer using the same. Also provided are methods for treating cancer provided herein, comprising administering to a mammal diagnosed with cancer, substantially non-viable Gram-negative bacteria having a substantial reduction in endotoxin activity and/or pyrogenicity, in an amount sufficient to inhibit growth or metastasis of the cancer. An additional method is provided comprising administering viable or non-viable Gram-negative bacterial organisms that have a genetic defect that results in a substantial loss of lipopolysaccharide within the outer membrane of the bacteria. Further provided are methods for reducing endotoxin activity and/or pyrogenicity in Gram-negative bacteria comprising treatment with polymyxin and glutaraldehyde.","1. A composition comprising intact and substantially non-viable Gram-negative bacterial cells having at least about 80% reduction in endotoxin activity and at least 90% reduction in pyrogenicity as compared to corresponding wild-type Gram-negative bacterial cells. 2. (canceled) 3. The composition of claim 1, wherein at least about 90% of the bacterial cells are non-viable. 4. The composition of claim 3, wherein about 100% of the bacterial cells are non-viable. 5. (canceled) 6. The composition of claim 1, wherein the endotoxin activity is reduced by about 90%. 7. The composition of claim 6, wherein the pyrogenicity is reduced by about 95%. 8. A method for treating a cancer comprising administering to a mammal diagnosed as having a cancer an amount of the composition of claim 1, wherein the amount administered is sufficient to inhibit growth or metastasis of the cancer. 9. The composition of claim 1, wherein the bacterial cells are made substantially non-viable by treatment with radiation. 10. The composition of claim 1, wherein the bacterial cells are treated with an antibiotic that inactivates endotoxin. 11. The composition of claim 10, wherein said antibiotic is selected from the group consisting of polymyxin B and polymyxin E. 12. The composition of claim 1, wherein the bacterial cells are treated with an antibiotic known to disrupt the biosynthesis of KDO2-Lipid IVA. 13. The composition of claim 1, wherein the bacterial cells comprise a genetic defect that disrupts the biosynthesis of KDO2-Lipid IVA sufficient to substantially reduce endotoxin activity and pyrogenicity. 14. The composition of claim 1, wherein the bacterial cells comprise a genetic mutation that prevents O-acylation of KDO2-Lipid IVA sufficient to substantially reduce endotoxin activity and pyrogenicity. 15. The composition of claim 14, wherein the defect is in the msbB or lpxM loci. 16. The method of claim 8, wherein the cancer is a solid tumor. 17. The method of claim 8, wherein the mammal is further administered an antagonist of an immune function inhibiting T-cell receptor or T-cell receptor ligand selected from the group consisting of CTLA-4, PD-1, PD-L1 and PD-L2. 18. The method of claim 8, wherein the mammal is further administered an agonist of an immune function stimulating T-cell receptor selected from the group consisting of GITR, 4-1BB, CD40 and OX40. 19. The method of claim 8, wherein the mammal is further administered a chemotherapeutic agent. 20. The method of claim 19, wherein the chemotherapeutic agent is cyclophosphamide. 21. The method of claim 8, wherein the mammal is further administered a cytokine. 22. The method of claim 8, wherein the bacterial cells are Salmonella. 23. The method of claim 8, wherein the bacterial cells are Escherichia. 24-28. (canceled) 29. The composition of 1, wherein the bacterial cells are Salmonella. 30. The composition of 1, wherein the bacterial cells are Escherichia. 31. A method for treating a cancer, comprising: treating Gram-negative bacterial cells with an antibiotic under conditions to reduce viability, endotoxin activity and pyrogenicity of the cells without loss of cell integrity, to obtain a plurality of intact and substantially non-viable Gram-negative bacterial cells with substantial reduction in endotoxin activity and pyrogenicity as compared to untreated bacterial cells, and administering the plurality of intact and substantially non-viable Gram-negative bacterial cells to a cancer patient."," BACKGROUND The association of cancer regression in patients undergoing bacterial infection was observed and reported at least as early as 1868. The systemic administration of live attenuated Salmonella organisms to solid tumor bearing animals was reported to result in tumor therapy. See, e.g., U.S. Pat. No. 6,685,935 and Pawelek et al., (Lancet Oncol. 4(9):548-56, 2003). Also, intravesical (non-systemic) administration of attenuated Gram-positive mycobacteria (BCG) is approved in the United States for the treatment and prophylaxis of carcinoma in situ (CIS) of the urinary bladder. Improvements in tumor therapy using live Gram-negative Salmonella have also been reported for certain auxotrophic mutants. See e.g., Hoffman et al., (Amino Acids 37:509-521, 2009, U.S. Patent publication 20090300779 (Zhao et al.), and Zhao et al. (Proc. Natl. Acad. Sci. (USA) 102(3):775-760, 2005). Salmonella having deletions in the msbB locus have been prepared which express LPS lacking terminal myristoylation of lipid A in the outer membrane. TNF-alpha induction in mice and swine treated with these msbB- Salmonella strains was 33% and 14% of the amount induced by wild-type bacteria, respectively. See e.g., Low et al., Nature 17:37-41, 1999 and U.S. Pat. No. 7,354,592 (Bermudes et al.). Administration of such live organisms, including strain VNP20009, has been reported to inhibit the growth of subcutaneously implanted B16F10 murine melanoma, and the human tumor xenografts Lox, DLD-1, A549, WiDr, HTB177, and MDA-MB-231 grown in mice (Luo et al., Oncol. Res. 12(11-12):501-508, 2001). Salmonella strain VNP20009 has also been reported to improve the anti-tumor efficacy of the chemotherapeutic agent cyclophosphamide at both a maximum tolerated dose and with a low-dose metronomic regimen (Jia et al., Int. J. Cancer 121(3):666-674, 2007). Conditional mutants of Gram-negative bacteria that cannot produce Lipid A and that lack LPS in the outer membrane have been prepared but have been reported to be toxic to the organism. For example, mutational inhibition of synthesis of 3-deoxy-D-manno-octulosonate (Kdo) or mutational inhibition of incorporation of Kdo molecules into lipid IV A prevents lipid A and LPS synthesis and localization of LPS precursors to the outer membrane of Gram-negative bacteria. Lipid IV A is an LPS precursor that lacks glycosylation. Activation of these mutations leads to loss of bacterial viability (Rick et al., Proc. Natl. Acad. Sci. USA 69(12):3756-3760, 1972, Belunis et al. J. Biol. Chem. 270(46):27646-27652, 1995, and Taylor et al. J. Biol. Chem. 275(41):32141-32146, 2000). It is also possible to inhibit Kdo incorporation into lipid IV A , synthesis of lipid A and localization to the outer membrane through the use of exogenously added compounds. Goldman et al. (J Bacteriol. 170(5):2185-91, 1988) describe antibacterial agents that specifically inhibit CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyltransferase activity, thereby blocking the incorporation of 2-keto 3-deoxy-D-manno-octulosonate (Kdo) into lipid IV A of Gram-negative organisms. As LPS synthesis ceased, molecules similar in structure to lipid IV A were found to accumulate, and bacterial growth ceased. The authors concluded that addition of Kdo to LPS precursor lipid species IV A is the major pathway of lipid A-Kdo 2 formation in both S. typhimurium LT2 and Escherichia coli ( E. coli ). More recently, mutants of Gram-negative bacteria have been prepared that lack LPS, including lipid A or 6-acyl lipidpolysaccharide, in the outer membrane but maintain viability. For example, U.S. Patent publication 2010/0272758 reports an E. coli K-12 strain KPM22 that is defective in synthesis of 3-deoxy-d-manno-oct-2-ulosonic acid (Kdo). KPM22 has an outer membrane (OM) composed predominantly of lipid IV A . Viability of these organisms was achieved by the presence of a second-site suppressor that facilitates transport of lipid IV A from the inner membrane to the outer membrane. This suppressor is reported to relieve toxic side-effects of lipid IV A accumulation in the inner membrane and provide sufficient amounts of LPS precursors to support OM biogenesis. The LPS precursor produced by this strain lacks endotoxin activity, as determined by its inability to induce TNF-alpha secretion by human mononuclear cells at LPS precursor doses of up to 1 μg/mL. See also, Mamat et al., (Mol Microbiol. 67(3):633-48, 2008). Dose-limiting side effects associated with infection and septic shock significantly limit systemic administration of live bacteria to cancer patients. This limitation has been associated with wildtype bacteria (see e.g., Wiemann and Starnes, Pharmac. Ther. 64:529-564, 1994 for review), and has also been associated with genetically attenuated bacteria, which proliferate selectively in tumor tissue and express modified lipid A (see e.g., Toso et al., J. Clin. Oncol. 20(1):142-152, 2002). These limitations have led to the use of heat killed bacteria for cancer therapy. See e.g., Havas et al. (Med. Oncol. & Tumour Pharmacother. 10(4):145-158, 1993), Ryoma et al. (Anticancer Res. 24:3295-3302, 2004), Maletzki et al. (Clin. Develop. Immunol. 2012:1-16, 2012), U.S. Pat. No. 8,034,359 B2 (Gunn), European Patent No. EP 1,765,391 B1 (Gunn), and for review, Wiemann and Starnes (Pharmac. Ther. 64:529-564, 1994). However, non-infectious, killed bacteria still induce significant dose-limiting toxicities associated with LPS-derived endotoxin and other cell constituents, which are pyrogenic and can produce symptoms of septic shock. Thus, further improvements in treating cancer with bacteria are needed."," SUMMARY Provided herein are compositions and methods for treating cancer in a mammal (e.g., a human), diagnosed as having cancer, by administering to that mammal an amount of Gram-negative bacteria wherein the bacteria are (i) non-viable or substantially non-viable in the mammal, (ii) have a substantial reduction in endotoxin activity and/or pyrogenicity, and (iii) are administered in an amount sufficient to inhibit the growth or metastatic potential of the cancer. In some embodiments, the Gram-negative bacteria are rendered non-viable or substantially non-viable prior to administration to the mammal by treatment with (i) radiation, (ii) a chemical sterilant, (iii) an antibiotic that inactivates endotoxin (e.g., polymyxin B or polymyxin E), or (iv) an antibiotic that disrupts the biosynthesis of KDO2-Lipid IV A . Alternatively, or in addition to, any one or more of the foregoing treatments, the Gram-negative bacteria further comprises a genetic defect that disrupts or partially disrupts the biosynthesis of KDO2-Lipid IV A or prevents the O-acylation of KDO2-Lipid IV A . Genetic defects that disrupt or partially disrupt the O-acylation of KDO2-Lipid IV A include, for example, defects which functionally disrupt the msbB and lpxM loci. In one aspect of the disclosure, compositions comprise substantially non-viable Gram-negative bacteria having a substantial reduction in endotoxin activity and/or pyrogenicity and a pharmaceutically acceptable excipient. In one embodiment, the Gram-negative bacteria are made non-viable by treatment with glutaraldehyde. In another embodiment, the endotoxin activity and/or pyrogenicity is reduced by treatment with polymyxin B or polymyxin E. In a further embodiment, the endotoxin activity and/or pyrogenicity is reduced by treatment with glutaraldehyde. In another aspect, methods are provided to treat a mammal diagnosed as having cancer which included administering an amount of substantially non-viable Gram-negative bacteria having a substantial reduction in endotoxin activity and/or pyrogenicity, wherein the amount administered is sufficient to inhibit growth or metastasis of the cancer. In another aspect, the disclosure provides methods for treating cancer in a mammal (e.g., a human), diagnosed as having cancer, by administering to that mammal an amount of Gram-negative bacteria wherein the bacteria are viable, may or may not be attenuated, and have a genetic defect that results in a substantial or total loss of lipopolysaccharide within the outer membrane of the bacteria and wherein the amount administered is sufficient to inhibit the growth or metastatic potential of the cancer. In one embodiment, the disclosure provides a method for treating a cancer comprising administering to a mammal diagnosed as having cancer an amount of viable or non-viable Gram-negative bacterial organisms that have a genetic defect that results in a substantial loss of lipopolysaccharide within the outer membrane of the bacteria, wherein the amount administered is sufficient to inhibit growth of the cancer. In some embodiments, the genetic defect disrupts or partially disrupts the biosynthesis of KDO2-Lipid IV A or prevents the O-acylation of KDO2-Lipid IV A . In some embodiments, the cancer is a solid tumor. In other embodiments, the mammal is further administered a chemotherapeutic agent including, for example, cyclophosphamide. In other embodiments, the mammal is further administered an antagonist of an immune function-inhibiting receptor or receptor agonist including, for example, inhibiting the function of a T-cell receptor or T-cell receptor ligand (e.g., CTLA-4, PD-1, PD-L1, and PD-L2). In other embodiments, the mammal is further administered an agonist of an immune function-stimulating receptor including, for example, agonists that stimulate a T-cell receptor. Suitable receptor targets include, for example, GITR, 4-1BB, CD40, and OX40. In other embodiments, the mammal is further administered an immune function-stimulating cytokine including, for example, interferon-alpha, interferon-beta, interferon-gamma, granulocyte-macrophage colony-stimulating factor, interleukin-2, and interleukin-12. In some embodiments, the Gram-negative bacteria are Salmonella or Escherichia. In another embodiment, the disclosure provides for methods of killing and reducing endotoxin activity and/or pyrogenicity in Gram-negative bacteria by treating the bacteria with polymyxin B and glutaraldehyde. In one embodiment, viability is reduced to 0% and the endotoxin activity or pyrogenicity is reduced by about 90% or 96%.","CROSS REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. application Ser. No. 14/139,063, filed Dec. 23, 2013, which application claims the benefit under 35 U.S.C. §119(e) to U.S. provisional application 61/748,369 filed Jan. 2, 2013, both of which are hereby incorporated by reference. FIELD This disclosure relates to compositions comprising Gram-negative bacteria and methods for treating cancer by administering the same. BACKGROUND The association of cancer regression in patients undergoing bacterial infection was observed and reported at least as early as 1868. The systemic administration of live attenuated Salmonella organisms to solid tumor bearing animals was reported to result in tumor therapy. See, e.g., U.S. Pat. No. 6,685,935 and Pawelek et al., (Lancet Oncol. 4(9):548-56, 2003). Also, intravesical (non-systemic) administration of attenuated Gram-positive mycobacteria (BCG) is approved in the United States for the treatment and prophylaxis of carcinoma in situ (CIS) of the urinary bladder. Improvements in tumor therapy using live Gram-negative Salmonella have also been reported for certain auxotrophic mutants. See e.g., Hoffman et al., (Amino Acids 37:509-521, 2009, U.S. Patent publication 20090300779 (Zhao et al.), and Zhao et al. (Proc. Natl. Acad. Sci. (USA) 102(3):775-760, 2005). Salmonella having deletions in the msbB locus have been prepared which express LPS lacking terminal myristoylation of lipid A in the outer membrane. TNF-alpha induction in mice and swine treated with these msbB-Salmonella strains was 33% and 14% of the amount induced by wild-type bacteria, respectively. See e.g., Low et al., Nature 17:37-41, 1999 and U.S. Pat. No. 7,354,592 (Bermudes et al.). Administration of such live organisms, including strain VNP20009, has been reported to inhibit the growth of subcutaneously implanted B16F10 murine melanoma, and the human tumor xenografts Lox, DLD-1, A549, WiDr, HTB177, and MDA-MB-231 grown in mice (Luo et al., Oncol. Res. 12(11-12):501-508, 2001). Salmonella strain VNP20009 has also been reported to improve the anti-tumor efficacy of the chemotherapeutic agent cyclophosphamide at both a maximum tolerated dose and with a low-dose metronomic regimen (Jia et al., Int. J. Cancer 121(3):666-674, 2007). Conditional mutants of Gram-negative bacteria that cannot produce Lipid A and that lack LPS in the outer membrane have been prepared but have been reported to be toxic to the organism. For example, mutational inhibition of synthesis of 3-deoxy-D-manno-octulosonate (Kdo) or mutational inhibition of incorporation of Kdo molecules into lipid IVA prevents lipid A and LPS synthesis and localization of LPS precursors to the outer membrane of Gram-negative bacteria. Lipid IVA is an LPS precursor that lacks glycosylation. Activation of these mutations leads to loss of bacterial viability (Rick et al., Proc. Natl. Acad. Sci. USA 69(12):3756-3760, 1972, Belunis et al. J. Biol. Chem. 270(46):27646-27652, 1995, and Taylor et al. J. Biol. Chem. 275(41):32141-32146, 2000). It is also possible to inhibit Kdo incorporation into lipid IVA, synthesis of lipid A and localization to the outer membrane through the use of exogenously added compounds. Goldman et al. (J Bacteriol. 170(5):2185-91, 1988) describe antibacterial agents that specifically inhibit CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyltransferase activity, thereby blocking the incorporation of 2-keto 3-deoxy-D-manno-octulosonate (Kdo) into lipid IVA of Gram-negative organisms. As LPS synthesis ceased, molecules similar in structure to lipid IVA were found to accumulate, and bacterial growth ceased. The authors concluded that addition of Kdo to LPS precursor lipid species IVA is the major pathway of lipid A-Kdo2 formation in both S. typhimurium LT2 and Escherichia coli (E. coli). More recently, mutants of Gram-negative bacteria have been prepared that lack LPS, including lipid A or 6-acyl lipidpolysaccharide, in the outer membrane but maintain viability. For example, U.S. Patent publication 2010/0272758 reports an E. coli K-12 strain KPM22 that is defective in synthesis of 3-deoxy-d-manno-oct-2-ulosonic acid (Kdo). KPM22 has an outer membrane (OM) composed predominantly of lipid IVA. Viability of these organisms was achieved by the presence of a second-site suppressor that facilitates transport of lipid IVA from the inner membrane to the outer membrane. This suppressor is reported to relieve toxic side-effects of lipid IVA accumulation in the inner membrane and provide sufficient amounts of LPS precursors to support OM biogenesis. The LPS precursor produced by this strain lacks endotoxin activity, as determined by its inability to induce TNF-alpha secretion by human mononuclear cells at LPS precursor doses of up to 1 μg/mL. See also, Mamat et al., (Mol Microbiol. 67(3):633-48, 2008). Dose-limiting side effects associated with infection and septic shock significantly limit systemic administration of live bacteria to cancer patients. This limitation has been associated with wildtype bacteria (see e.g., Wiemann and Starnes, Pharmac. Ther. 64:529-564, 1994 for review), and has also been associated with genetically attenuated bacteria, which proliferate selectively in tumor tissue and express modified lipid A (see e.g., Toso et al., J. Clin. Oncol. 20(1):142-152, 2002). These limitations have led to the use of heat killed bacteria for cancer therapy. See e.g., Havas et al. (Med. Oncol. & Tumour Pharmacother. 10(4):145-158, 1993), Ryoma et al. (Anticancer Res. 24:3295-3302, 2004), Maletzki et al. (Clin. Develop. Immunol. 2012:1-16, 2012), U.S. Pat. No. 8,034,359 B2 (Gunn), European Patent No. EP 1,765,391 B1 (Gunn), and for review, Wiemann and Starnes (Pharmac. Ther. 64:529-564, 1994). However, non-infectious, killed bacteria still induce significant dose-limiting toxicities associated with LPS-derived endotoxin and other cell constituents, which are pyrogenic and can produce symptoms of septic shock. Thus, further improvements in treating cancer with bacteria are needed. SUMMARY Provided herein are compositions and methods for treating cancer in a mammal (e.g., a human), diagnosed as having cancer, by administering to that mammal an amount of Gram-negative bacteria wherein the bacteria are (i) non-viable or substantially non-viable in the mammal, (ii) have a substantial reduction in endotoxin activity and/or pyrogenicity, and (iii) are administered in an amount sufficient to inhibit the growth or metastatic potential of the cancer. In some embodiments, the Gram-negative bacteria are rendered non-viable or substantially non-viable prior to administration to the mammal by treatment with (i) radiation, (ii) a chemical sterilant, (iii) an antibiotic that inactivates endotoxin (e.g., polymyxin B or polymyxin E), or (iv) an antibiotic that disrupts the biosynthesis of KDO2-Lipid IVA. Alternatively, or in addition to, any one or more of the foregoing treatments, the Gram-negative bacteria further comprises a genetic defect that disrupts or partially disrupts the biosynthesis of KDO2-Lipid IVA or prevents the O-acylation of KDO2-Lipid IVA. Genetic defects that disrupt or partially disrupt the O-acylation of KDO2-Lipid IVA include, for example, defects which functionally disrupt the msbB and lpxM loci. In one aspect of the disclosure, compositions comprise substantially non-viable Gram-negative bacteria having a substantial reduction in endotoxin activity and/or pyrogenicity and a pharmaceutically acceptable excipient. In one embodiment, the Gram-negative bacteria are made non-viable by treatment with glutaraldehyde. In another embodiment, the endotoxin activity and/or pyrogenicity is reduced by treatment with polymyxin B or polymyxin E. In a further embodiment, the endotoxin activity and/or pyrogenicity is reduced by treatment with glutaraldehyde. In another aspect, methods are provided to treat a mammal diagnosed as having cancer which included administering an amount of substantially non-viable Gram-negative bacteria having a substantial reduction in endotoxin activity and/or pyrogenicity, wherein the amount administered is sufficient to inhibit growth or metastasis of the cancer. In another aspect, the disclosure provides methods for treating cancer in a mammal (e.g., a human), diagnosed as having cancer, by administering to that mammal an amount of Gram-negative bacteria wherein the bacteria are viable, may or may not be attenuated, and have a genetic defect that results in a substantial or total loss of lipopolysaccharide within the outer membrane of the bacteria and wherein the amount administered is sufficient to inhibit the growth or metastatic potential of the cancer. In one embodiment, the disclosure provides a method for treating a cancer comprising administering to a mammal diagnosed as having cancer an amount of viable or non-viable Gram-negative bacterial organisms that have a genetic defect that results in a substantial loss of lipopolysaccharide within the outer membrane of the bacteria, wherein the amount administered is sufficient to inhibit growth of the cancer. In some embodiments, the genetic defect disrupts or partially disrupts the biosynthesis of KDO2-Lipid IVA or prevents the O-acylation of KDO2-Lipid IVA. In some embodiments, the cancer is a solid tumor. In other embodiments, the mammal is further administered a chemotherapeutic agent including, for example, cyclophosphamide. In other embodiments, the mammal is further administered an antagonist of an immune function-inhibiting receptor or receptor agonist including, for example, inhibiting the function of a T-cell receptor or T-cell receptor ligand (e.g., CTLA-4, PD-1, PD-L1, and PD-L2). In other embodiments, the mammal is further administered an agonist of an immune function-stimulating receptor including, for example, agonists that stimulate a T-cell receptor. Suitable receptor targets include, for example, GITR, 4-1BB, CD40, and OX40. In other embodiments, the mammal is further administered an immune function-stimulating cytokine including, for example, interferon-alpha, interferon-beta, interferon-gamma, granulocyte-macrophage colony-stimulating factor, interleukin-2, and interleukin-12. In some embodiments, the Gram-negative bacteria are Salmonella or Escherichia. In another embodiment, the disclosure provides for methods of killing and reducing endotoxin activity and/or pyrogenicity in Gram-negative bacteria by treating the bacteria with polymyxin B and glutaraldehyde. In one embodiment, viability is reduced to 0% and the endotoxin activity or pyrogenicity is reduced by about 90% or 96%. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1 and 2 demonstrate that incubation of E. coli with polymyxin B (PMB) reduces the level of bacterial cell-associated endotoxin activity and cell viability. This is further described in Example 2. FIGS. 3 and 4 demonstrate that incubation of E. coli with glutaraldehyde (GA) reduces the level of bacterial cell-associated endotoxin activity and cell viability, as further described in Example 3. FIG. 5 depicts transmission electron microscope images of E. coli untreated (FIG. 5A), treated with 1,000 μg/mL PMB (FIG. 5B), 1% GA (FIG. 5C), or both PMB and GA (FIG. 5D), demonstrating that the bacteria remain intact after all treatments, as further described in Example 4. FIG. 6 depicts a graph showing the dose-dependent effect of PMB+GA-treated E. coli on the growth of subcutaneous murine B16F10 melanoma in mice, as further described in Example 7. FIG. 7 shows a graph showing the dose-dependent effect of untreated and 1% GA-treated E. coli on the growth of subcutaneous murine B16F10 melanoma in mice, as further described in Example 8. FIGS. 8A and 8B illustrate graphs showing the dose-dependent effect of PMB+GA-treated E. coli without and with metronomic cyclophosphamide (FIG. 8A) or anti-murine CTLA-4 antibody (FIG. 8B) on the growth of subcutaneous CT26 murine colorectal carcinoma in mice, as further described in Example 9. DETAILED DESCRIPTION Provided herein are compositions comprising non-viable Gram-negative bacterial organisms and that have substantial reduction in endotoxin and/or pyrogenic activity and methods to treat cancer, comprising administering to a mammal suffering from cancer an amount of non-viable Gram-negative bacterial organisms that have a substantial reduction in endotoxin or pyrogenic activity, wherein the amount administered is sufficient to inhibit growth or metastasis of the cancer. Possible mechanism(s) responsible for anti-tumor activity mediated by bacteria include selective proliferation of live bacterial organisms in tumor tissue and stimulation of host immune responses, in particular via LPS (endotoxin)-mediated induction of tumoricidal cytokine release from host mononuclear cells. However, the proliferation of live bacteria and LPS (endotoxin)-mediated induction of cytokines (even with LPS attenuated by msbB mutation), are believed responsible for dose-limiting toxicity associated with treatment of mammals with live bacteria. Toso et al. (J. Clin. Oncol. 20(1):142-152, 2002) treated cancer patients with live msbB-attenuated Salmonella and dose-limiting toxicities included bacteremia and side-effects associated with cytokine release. Proliferation of bacteria in tumor tissue was lower and sensitivity to cytokine-mediated toxicities was higher than seen in human tumor xenograft models in mice. It is believed that systemic proliferation by viable bacteria and/or cytokine-related toxicities, mediated in part by LPS lacking one secondary acyl chain, may prevent administration of safe and effective doses of live, attenuated Gram-negative bacteria to some mammals (such as humans) other than mice, which are known to be relatively resistant to bacterial infection and associated septic consequences of cytokine induction. Although not wishing to be bound by theory, it is believed that killed or non-viable Gram-negative organisms with substantially reduced endotoxin activity and/or pyrogenicity can be administered to cancer patients in amounts that are less toxic and more effective to treat the cancer as compared to using live or viable organisms, which proliferate in each patient's normal and tumor tissues in a variable manner that cannot be controlled by the practitioner, either proliferating insufficiently to produce a therapeutic effect or proliferating too much, thereby producing unacceptable toxicity. It is also believed that killed or non-viable Gram-negative organisms with substantially reduced endotoxin activity and/or pyrogenicity can be administered to cancer patients in amounts that are less toxic and more effective to treat the cancer as compared to using killed bacteria that express wildtype levels of endotoxin activity and/or pyrogenicity. It is also believed that viable Gram-negative organisms having a genetic defect in the formation of LPS that results in a substantial reduction in the amount of glycosylated Lipid A and LPS in the outer membrane of the bacteria can be effective in the treatment of cancer whether administered alive and attenuated, so as to prevent further proliferation in the mammalian host, or as killed organisms. Although such organisms lack functional LPS molecules that cause endotoxic shock as well as provide a stimulus to the host's immune system, it is believed that there are other features of the Gram-negative bacteria that will stimulate the host's innate or combined innate and adaptive immune responses to achieve tumor cell killing or tumor growth inhibition. In one embodiment, the Gram-negative organisms used in cancer therapy, as disclosed herein, do not contain DNA that encodes or expresses non-bacterial proteins (e.g., tumor-specific antigens). The Gram-negative organisms, therefore, are not a cancer vaccine in that they do not directly induce a specific immunological response against a tumor antigen. Instead, these organism function as an adjuvant or biological response modifier (BRM) that may generally stimulate the host innate immune response and possibly indirectly an adaptive anti-tumor immune response. In some embodiments, the Gram-negative organisms are injected directly in or near the site of the tumor, or are injected systemically and accumulate in or near the tumor. The increased innate immune response against the organisms then may secondarily become directed against the tumor. In addition, or alternatively, immune responses against the organisms may stimulate or activate pre-existing tumor antigen-specific immune cells capable of participating in an adaptive anti-tumor response. In an alternative embodiment, the Gram-negative organisms express DNA that encodes for expression of non-bacterial proteins including, for example, tumor-specific antigens or immune system stimulating proteins. Here again, the organisms may be injected in or near the tumor site, or systemically, and induce an innate or adaptive immune response against the organism, the tumor-specific antigen, or both. As used herein, the term tumor specific antigen refers to an antigen that is expressed by a tumor but is not expressed by any normal cells from the organism from which the tumor was derived. The term tumor-associated antigen refers to an antigen that is expressed by a tumor but may also be expressed in a limited manner by normal cells from the organism from which the tumor was derived. The limited manner of expression may reflect a lower level of expression in normal cells than the tumor, expression by a limited type of normal cell or expression by normal cells only during fetal development (i.e., a fetal antigen). As used herein, an antigen is any molecule that can be recognized by an immune response, either an antibody or by an immune cell (e.g., T cell). As used herein the terms “adjuvant” and “biological response modifier” refer to any substance that enhances an immune response to an antigen, tumor or tumor-associated cell. Thus, an adjuvant or biological response modifier is used to stimulate the immune system to respond more vigorously to a foreign antigen or a disease-causing or disease-associated cell expressing a new antigen, or structurally altered or abnormal level of an existing antigen. However, in some embodiments, recombinant forms of Gram-negative bacteria that express, e.g., tumor specific or tumor-associated antigens or human immune activation proteins such as cytokines or chemokines are contemplated for use in the disclosed methods. In an alternative embodiment, purified immune activation proteins such as cytokines or chemokines are mixed with the Gram-negative organisms prior to administration, or are administered before or after the Gram-negative organisms. As used herein the term mammal includes any mammal such as a human, dog, cat, cow, sheep, and the like. A preferred mammal is a human. The term “Gram-negative bacteria” refers to bacteria that do not retain the initial basic dye stain (e.g., crystal violet) that is part of the procedure known as the Gram stain. In an exemplary Gram stain, cells are first fixed to a slide by heat and stained with a basic dye (e.g., crystal violet), which is taken up by both Gram-negative and Gram-positive bacteria. The slides are then treated with a mordant (e.g., Gram's iodine), which binds to basic dye (e.g. crystal violet) and traps it in the cell. The cells are then washed with acetone or alcohol, and then counterstained with a second dye of different color (e.g., safranin). Gram-positive organisms retain the initial violet stain, while Gram-negative organisms are decolorized by the wash solvent organic and hence show the counterstain. Exemplary Gram-negative bacteria include, but are not limited to, Escherichia spp., Shigella spp., Salmonella spp., Campylobacter spp., Neisseria spp., Haemophilus spp., Aeromonas spp., Francisella spp., Yersinia spp., Klebsiella spp., Bordetella spp., Legionella spp., Corynebacteria spp., Citrobacter spp., Chlamydia spp., Brucella spp., Pseudomonas spp., Helicobacter spp. and Vibrio spp. Within gram-negative organisms are the Enterobacteriaceae, a large family that includes, along with many harmless symbionts, many well-known pathogens, such as Salmonella, E. coli, Yersinia pestis, Klebsiella and Shigella, Proteus, Enterobacter, Serratia, and Citrobacter. Members of the Enterobacteriaceae have been referred to as enterobacteria, as several members live in the intestines of animals. Enterobacteriaceae are rod-shaped, typically 1-5 μm in length. They are facultative anaerobes, fermenting sugars to produce lactic acid and various other end products. Most also reduce nitrate to nitrite and generally lack cytochrome C oxidase. Most have many flagella for motility, but some are nonmotile. Enterobacteriaceae are nonspore-forming. The term “vector” refers to a nucleic acid molecule, which is capable of transporting another nucleic acid to which it is linked as a single piece of nucleic acid. Vectors capable of directing the expression of genes to which they are operatively linked are referred to herein as “expression vectors.” The term “expression system” as used herein refers to a combination of components that enable sequences in an expression vector to be transcribed into RNA, folded into structural RNA, or translated into protein. The expression system may be an in vitro expression system, such as is commercially available or readily made according to known methods, or may be an in vivo expression system, such as a eukaryotic or prokaryotic host cell that contains the expression vector. In general, expression vectors useful in recombinant DNA techniques can be “plasmids” which refer generally to circular double stranded DNA that, in their vector form, is not bound to the bacterial chromosome. Other expression vectors well known in the art also can be used in expression systems (e.g., cosmid, phagemid and bacteriophage vectors). The term “nucleic acid” refers to polynucleotides or oligonucleotides such as deoxyribonucleic acid (DNA), and, where appropriate, ribonucleic acid (RNA). The term should also be understood to include, as equivalents, analogs of either RNA or DNA made from nucleotide analogs and as applicable to the embodiment being described, single (sense or antisense) and double-stranded polynucleotides. The term “modulation” as used herein refers to both upregulation (i.e., activation or stimulation (e.g., by agonizing or potentiating)) and downregulation (i.e., inhibition or suppression (e.g., by antagonizing, decreasing or inhibiting)). The term “inducible” refers in particular to gene expression which is not constitutive but which takes place in response to a stimulus (e.g., temperature, heavy metals or other medium additive). A. Candidate Bacterial Organisms Candidate bacterial organisms that may be employed by the methods herein are Gram-negative and are derived from those that have endotoxin activity as wildtype organisms. Exemplary Gram-negative bacteria include, but are not limited to, Escherichia spp., Shigella spp., Salmonella spp., Campylobacter spp., Neisseria spp., Haemophilus spp., Aeromonas spp., Francisella spp., Yersinia spp., Klebsiella spp., Bordetella spp., Legionella spp., Corynebacteria spp., Citrobacter spp., Chlamydia spp., Brucella spp., Pseudomonas spp., Helicobacter spp. and Vibrio spp. Candidate Gram negative organisms also may be those that fall in the Enterobacteriaceae, Pseudomonadaceae, Neisseriaceae, Veillonellaceae, Bacteroidaceae, Vibrionaceae, Pasteurellaceae, and Fusobacteriaceae families. In some embodiments, the candidate organism is a species of Salmonella or Escherichia spp. One candidate Salmonella organism, VNP20009, has been described by Luo et al., Oncol Res. 12(11-12):501-8, 2001. VNP20009 is a genetically modified strain of Salmonella typhimurium with deletions in the msbB and purI loci. Intravenous administration at doses ranging from 1×104 to 3×106 cfu/mouse of live VNP20009 to tumor bearing mice inhibited the growth of subcutaneously implanted B16F10 murine melanoma, and the human tumor xenografts Lox, DLD-1, A549, WiDr, HTB177, and MDA-MB-231. VNP20009, given intravenously also inhibited the growth of lung metastases in these animals. See also, U.S. Pat. No. 7,354,592 (Bermudes et al.). Another candidate Salmonella organism is SL3235 described by Eisenstein et al. Med. Oncol. 12(2):103-8, 1995. SL3235 is an attenuated strain of Salmonella that when administered live can cure plasmacytoma tumor growing in mice. Further candidate Salmonella include auxotrophic mutants reported by Hoffman et al., Amino Acids 37:509-521, 2009. The S. typhimurium A1-R mutant is auxotrophic for leu-arg and has high anti-tumor virulence. In vitro, A1-R infects tumor cells and causes nuclear destruction. A1-R administration treats metastatic human prostate and breast tumors orthotopically implanted in nude mice. A1-R administered intravenously (i.v.) to nude mice with primary osteosarcoma and lung metastasis is effective, especially against metastasis. A1-R also was reported effective against pancreatic cancer liver metastasis when administered intrasplenically to nude mice. See also U.S. Patent publication 20090300779 (Zhao et al.), and Zhao et al. (Proc. Natl. Acad. Sci. (USA) 102(3):775-760, 2005). A variety of Gram-negative organisms suitable for the treatment of solid tumors are reported in U.S. Pat. No. 6,685,935 (Pawelek et al.). These organisms are referred to as super-infective as they replicate preferentially in the tumor after administration. Included are super-infective, tumor-specific mutants of Salmonella spp., e.g., Salmonella typhimurium. Also described are super-infective, tumor-specific mutants of Salmonella spp. containing a suicide gene such as thymidine kinase from Herpes simplex virus, cytosine deaminase from E. coli, or human microsomal p450 oxidoreductase. See also Pawelek et al., (Lancet Oncol. 4(9):548-56, 2003). In one embodiment, E. coli is selected as the organism. One particular strain contemplated is E. coli strain 2617-143-312, (Migula) Castellani and Chalmers (ATCC® 13070™). Additional E. coli strains which may be used include MG1655 (ATCC® 47076) and KY8284 (ATCC® 21272). The Gram-negative organisms used in the methods herein need not be recombinant organisms that contain or express DNA foreign to the wildtype form of the organism. However, in some embodiments, the organisms may be modified to express some non-native molecules. For example, U.S. Pat. No. 7,452,531 reports preparation and use of attenuated tumor-targeted bacteria vectors for the delivery of one or more primary effector molecule(s) to the site of a solid tumor. According to the method, effector molecules, which may be toxic when administered systemically to a host, can be delivered locally to tumors by attenuated tumor-targeted bacteria with reduced toxicity to the host. Specifically, the attenuated tumor-targeted bacteria can be a facultative aerobe or facultative anaerobe which is modified to encode one or more primary effector molecule(s). The primary effector molecule(s) include members of the TNF cytokine family, anti-angiogenic factors, and cytotoxic polypeptides or peptides. The primary effector molecules of the disclosure are useful, for example, to treat a solid tumor cancer such as a carcinoma, melanoma, lymphoma, sarcoma, or metastases derived from these tumors. B. Reducing Bacterial Endotoxin Activity Various methods may be used to reduce endotoxin activity and/or pyrogenicity of bacterial organisms. As used herein, the term “endotoxin activity” refers to portions of Gram-negative bacteria that can cause toxicity, including pyrogenicity and septic shock. The toxic effects attributed to endotoxin have been found to be associated with the glycosylated lipid A portion of a lipopolysaccharide molecule present in or derived from the outer membrane of Gram-negative bacteria. The term “Lipopolysaccharide” (LPS) refers to large molecules consisting of a lipid and a polysaccharide (glycophospholipid) joined by a covalent bond. LPS comprises three parts: 1) O antigen; 2) Core oligosaccharide, and 3) Lipid A. The O-antigen is a repetitive glycan polymer attached to the core oligosaccharide, and comprises the outermost domain of the LPS molecule. Core oligosaccharide attaches directly to lipid A and commonly contains sugars such as heptose and 3-deoxy-D-mannooctulosonic acid (also known as KDO, keto-deoxyoctulosonate). Lipid A is a phosphorylated glucosamine disaccharide linked to multiple fatty acids. The fatty acids anchor the LPS into the bacterial membrane, and the rest of the LPS projects from the cell surface. Bacterial death may result if LPS is mutated or removed. Endotoxin activity resides in the lipid A domain portion of LPS. When bacterial cells are lysed by the immune system, fragments of membrane containing lipid A are released into the circulation, causing fever (pyrogenicity), diarrhea, and a potentially fatal shock (called endotoxic or septic shock). Toxicity of LPS is expressed by lipid A through the interaction with B-cells and macrophages of the mammalian immune system, a process leading to the secretion of proinflammatory cytokines, mainly tumor necrosis factor (TNF), which may have fatal consequences for the host. Lipid A also activates human T-lymphocytes (Th-1) “in vitro” as well as murine CD4+ and CD8+ T-cells “in vivo”, a property which allows the host's immune system to mount a specific, anamnestic IgG antibody response to the variable-size carbohydrate chain of LPS. On these bases, LPS has been recently recognized as a T-cell dependent antigen “in vivo”. Endotoxin activity can be measured by methods well known in the art, including, for example, the Limulus Amebocyte Lysate (LAL) assay, which utilizes blood from the horseshoe crab, can detect very low levels of LPS. The presence of endotoxin activity will result in coagulation of the limulus blood lysate due to amplification via an enzymatic cascade. Gel clotting, turbidometric, and chromogenic forms of the LAL assay are commercially available. See, e.g., Lonza, Allendale, N.J., and Clongen Labs, Germantown, Md. Enzyme linked immunoadsorbent assay (ELISA)-based endotoxin activity assays are also known such as the EndoLISA® from Hyglos, Munich area of Germany. This assay employs an LPS specific phage protein attached to the solid phase to capture LPS, and following a wash step, the presence of LPS is determined by addition of recombinant Factor C, which when activated by LPS, cleaves a compound that then emits fluorescence. Factor C, present in the Limulus amebocyte lysate, normally exists as a zymogen, and is the primer of the coagulation cascade that occurs in the LAL test. Endotoxin activity can also be measured by evaluating induction of TNF-alpha secretion, either from primary peripheral blood mononuclear cells in vitro, or by treating an animal with the suspected source of endotoxin and measuring TNF-alpha levels in plasma, obtained from the animal after approximately 1 to 4 hours. Primary mammalian peripheral blood mononuclear cells can be purchased from companies such as Lonza (Allendale, N.J., USA). TNF-alpha levels in cell supernatant or plasma can be determined with ELISA kits, such as those available from Thermo Scientific (Rockford, Ill., USA), Abcam (Cambridge, Mass., USA) or eBioscience (San Diego, Calif., USA). Endotoxin activity can also be assessed in vivo by measuring pyrogenicity (rectal temperature increase) in rabbits in response to intravenously administered organisms or derivatives thereof. The endotoxin activity and/or pyrogenicity of Gram-negative organisms may be substantially reduced as compared to that of the wildtype organism. A substantial reduction in endotoxin activity is preferably more than about 70%, more than about 75%, more than about 80%, more than about 85%, more than about 90%, more than 95% and more than about 99%. Various methods are available to reduce the endotoxin activity of Gram-negative organisms. The methods include treatment of the organisms with an agent that binds to LPS or disrupts its formation, or by genetically manipulating the bacterial organism to modify LPS or inhibit LPS formation. In one embodiment, reduction in endotoxin activity or pyrogenicity is achieved by treating the bacterial organisms with an antibiotic that inactivates endotoxin. A suitable such antibiotic is polymyxin B or polymyxin E. For example, Cooperstock et al., Infect Immun. 1981 July; 33(1):315-8, report that Polymyxin B treatment can reduce the inflammatory reactivity of LPS in vaccines of Gram-negative bacteria including Bordetella pertussis, E. coli, Haemophilus influenzae, and Pseudomonas aeruginosa. It is within the skill of one in the art to determine the amount of antibiotic and conditions for treatment. In one embodiment, the polymyxin, either polymyxin B or E, may be employed at a concentration of approximately 3 micrograms to 5,000 micrograms per 1×107 to 5×1010 bacteria per milliliter. In another embodiment, the concentration of polymyxin may be from about 200 micrograms to 5,000 micrograms per 1×107 to 5×1010 bacteria per milliliter. In one embodiment, the antibiotic is applied to the bacteria for 10 minutes to 4 hours or from about 30 minutes to about 3 hours. In one embodiment, the bacteria are grown in the presence of magnesium (Mg) in the form of MgCl2 and treated with polymyxin in the presence of MgCl2, as well as at a temperature suitable to maintain the bacteria's integrity. In one embodiment, the concentration of MgCl2 in the growth medium is from about 0.5 mM to about 5.0 mM, or about 2 mM, and the concentration of MgCl2 in the treatment medium is from about 5.0 mM to about 30 mM, or about 20 mM. In one embodiment, the temperature of the treatment medium is from about 2° C. to about 10° C., or about 4° C. Bacterial integrity is determined by efficiency of recovery in a well-defined pellet after centrifugation at 3,000×g for 10 minutes, and by electron microscopy. In a preferred embodiment, bacterial recovery after treatment and wash is greater than about 80% and the bacteria appear intact by electron microscopy. In another embodiment, reduction in endotoxin activity is achieved by treating the bacterial organisms with an antibiotic known to disrupt the biosynthesis of KDO2-Lipid IVA. For example, Goldman et al., J Bacteriol. 170(5):2185-91, 1988 describe antibacterial agents, including antibacterial agent III, which specifically inhibit CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyltransferase activity and which are useful to block the incorporation of 3-deoxy-D-manno-octulosonate (KDO) into LPS of Gram-negative organisms. As LPS synthesis ceased, bacterial growth ceased. The addition of KDO to LPS precursor species lipid IVA is the major pathway of lipid A-KDO formation in both S. typhimurium and E. coli. In one embodiment, the antibiotic is antibacterial agent III and Gram-negative bacteria are treated with a suitable amount, such as, for example 5 micrograms per milliliter to 500 micrograms per milliliter for a suitable time, for example 2 to 8 hours. A reduction in endotoxin activity may be achieved by introducing a genetic defect into the organism. The term “defect” as used herein, with regard to a gene or expression of a gene, means that the gene is different from the normal (wildtype) gene or that the expression of the gene is at a reduced level of expression compared to that of the wildtype gene. The defective gene may result from a mutation in that gene, or a mutation that regulates the expression of that gene. (e.g., transcriptional or post-transcriptional) In one embodiment, a reduction in endotoxin activity may be achieved by introducing a genetic defect that disrupts the biosynthesis of KDO2-Lipid IVA. For example, Woodard et al., U.S. Patent publication 20100272758, report viable non-toxic Gram-negative bacteria (e.g., E. coli) substantially lacking LPS within the outer membrane. The authors describe E. coli K-12 strain KPM22 as defective in synthesis of 3-deoxy-d-manno-octulosonic acid (Kdo). KPM22 has an outer membrane (OM) composed predominantly of lipid IVA, an LPS precursor that lacks glycosylation. Viability of the organisms is achieved by the presence of a second-site suppressor that transports lipid IVA from the inner membrane (IM) to the outer membrane. This suppressor is reported to relieve toxic side-effects of lipid IVA accumulation in the inner membrane and provide sufficient amounts of LPS precursors to support OM biogenesis. See also, Mamat et al., (Mol Microbiol. 67(3):633-48, 2008). In another embodiment, Bramhill et al., U.S. Patent Publication 2011-0224097, describe viable Gram-negative bacteria comprising outer membranes that substantially lack a ligand, such as Lipid A or 6-acyl lipopolysaccharide that acts as an agonist of TLR4/MD2. According to Bramhill, the bacteria may comprise reduced activity of arabinose-5-phosphate isomerases and one or more suppressor mutations, for example in a transporter thereby increasing the transporters capacity to transport Lipid IVA, or in membrane protein YhjD. One or more genes (e.g., IpxL, IpxM, pagP, IpxP, and/or eptA) may be substantially deleted and/or one or more enzymes (e.g., LpxL, LpxM, PagP, LpxP, and/or EptA) may be substantially inactive. In another embodiment, a reduction in endotoxin activity may be achieved by introducing a genetic defect that prevents synthesis of Kdo. For example, Rick et al., (Proc Natl Acad Sci USA. 69(12):3756-60, 1972) report an auxotrophic mutant of Salmonella typhimurium that is defective in the synthesis of the 3-deoxy-D-mannooctulosonate (ketodeoxyoctonate) region of the LPS and requires D-arabinose-5-phosphate for growth. The mutant defect was due to an altered ketodeoxyoctonate-8-phosphate synthetase (kdsA) with an apparent K(m) for D-arabinose-5-phosphate 35-fold higher than that of the parental enzyme. This caused the mutant strain to be dependent on exogenous D-arabinose-5-phosphate both for growth and for synthesis of a complete LPS. In another example, Belunis et al., (J. Biol. Chem. 270(46):27646-27652, 1995) disrupted the Kdo transferase (kdtA) gene in E. coli, which prevented incorporation of Kdo into lipid IVA. This mutation was lethal, but could be rescued by the conditional presence of a temperature-sensitive plasmid encoding kdtA. The development of conditional mutants in the Kdo synthesis pathway allows for growth of the bacteria, followed by transfer to the non-permissive condition, resulting in sufficient growth or survival to produce non-viable bacteria with significantly reduced endotoxin activity. In addition to LPS-derived endotoxin, various other constituents of Gram-negative organisms can induce or contribute to pyrogenicity and septic shock, including outer membrane proteins, fimbriae, pili, lipopeptides, and lipoproteins (reviewed by Jones, M., Int. J. Pharm. Compd., 5(4):259-263, 2001). Pyrogenicity can be measured by a rabbit method, well known in the art, involving assessment of rectal temperature after intravenous administration of putative pyrogens. It has been found that treatment of a Gram-negative organism with a combination of polymyxin B and glutaraldehyde produced a 30-fold reduction in pyrogenicity, as measured in rabbits. In one embodiment, 1,000 micrograms per milliliter (μg/mL) of polymyxin B and 1% glutaraldehyde was employed to produce a 30-fold reduction in pyrogenicity, as measured in rabbits. The pyrogenicity is reduced by a combination of polymyxin B reaction with LPS and glutaraldehyde reactivity with LPS and/or other bacterial constituents. The glutaraldehyde serves a dual role in this setting by also killing the bacteria. Thus, in one embodiment is provided a method of reducing endotoxin activity and pyrogenicity of and killing a Gram-negative bacterial microorganism by treating said bacteria with a combination of 1,000 μg/mL polymyxin B and 1% glutaraldehyde. In another embodiment, the Gram-negative bacteria are treated with a combination of polymyxin B at a dose range between about 3 μg/mL to about 1,000 μg/mL and glutaraldehyde at a dose range between about 0.1% to about 1.0%. In a further embodiment, the dose range of polymyxin B is between about 100 μg/mL to about 1,000 μg/mL and glutaraldehyde is at a dose range between about 0.5% to about 1.0%. Additionally, Gram-negative bacteria may be treated, for example with a dose range of polymyxin B between about 1,000 μg/mL to about 3,000 μg/mL and glutaraldehyde is at a dose range between about 0.5% to about 1.0%. In another aspect, Gram-negative bacteria maybe treated, for example with a dose range of polymyxin B between about 3,000 μg/mL to about 5,000 μg/mL and glutaraldehyde is at a dose range between about 0.5% to about 2.0%. In one embodiment, the endotoxin activity is reduced by about 70%, or about 75%, or about 80%, or about 85%, or about 90%, or about 92%, and pyrogenicity is reduced by about 75%, or about 80%, or about 85%, or about 90%, or about 95%, or about 97%. C. Rendering Bacteria Non-Viable Bacteria for administration according to the methods of the disclosure are rendered non-viable or substantially non-viable either prior to administration or become so upon administration. What is meant by “non-viable” is that the organisms are killed by treatment with an exogenous agent, and/or contain a mutation that results in an inability of the organisms to survive in a mammalian host. Substantially non-viable bacteria are strains that have had their viability reduced by at least 80%, 85%, 90%, 95%, 99%, or more. In preferred embodiments for bacteria that are not killed or not completely killed, the bacteria are further treated or modified such that they cannot proliferate within a mammalian host. In some embodiments where LPS is substantially not produced, it is contemplated that non-viable, attenuated, or viable bacteria are administered. Preferred methods of rendering bacteria non-viable are treatment with a compound that binds to LPS, thereby blocking its endotoxin activity, or treatment with a compound that interferes with LPS biosynthesis. In both cases, LPS binding and interference with LPS synthesis, viability is reduced as a result of permeabilization of the cell envelope. Another approach is to grow bacterial strains with conditional mutations in the LPS biosynthesis pathway that are suppressed during growth and then transfer to a non-permissive condition which activates the mutation and disrupts LPS biosynthesis. In each instance, the procedure applied is one that renders the bacteria non-viable by, determining in each setting, the optimal time of treatment or dose of compound, such that viability has been substantially lost with retention of significant bacterial cell integrity. In the case where non-viability is less than 100%, bacteria can be used which contain a mutation preventing further proliferation of viable bacteria in a mammalian host (e.g. a diaminopimelic acid auxotroph, as described by Bukhari and Taylor, J. Bacteriol. 105(3):844-854, 1971 and Curtiss et al., Immunol. Invest. 18(1-4):583-596, 1989). If alternative or additional methods of rendering bacteria non-viable are desired, a preferred method for killing bacteria is ionizing radiation (gamma rays or electron beam), but could also be done by other standard sterilization methods such as moist or dry heat, sterilant gas or vapor (see, e.g., Shintani et al., Biocontrol Science, 16(3):85-94, 2011). Additional non-standard methods of terminal sterilization that could be used include chemical treatment such as a chemical sterilant, and are summarized by Rutala and Weber (Emerg. Infect. Dis. 7(2):348-353, 2001) and Yaman (Curr. Opin. Drug Discov. Develop. 4(6):760-763, 2001). Examples of chemical gas, vapor and liquid sterilants include ethylene oxide gas (EOG), chlorine dioxide, vaporous phase of liquid hydrogen peroxide (VHP), formaldehyde, glutaraldehyde (e.g., ≧0.05% for ≧10 minutes), ortho-phthalaldehyde (OPA) (e.g. ≧0.1% for ≧5 minutes), and phenol. Methods that kill bacteria may affect the integrity of the organism. For example, the addition of heat may damage bacterial integrity, as opposed to the use of radiation. Reference to a bacterial organism as used herein includes the fully intact organism and partially degraded forms of the organism that may arise when the organisms are killed, but does not extend to subcellular fractions of the organisms that have become separated from other cellular components, such as a cell wall fraction (preparation) or a cell wall skeleton (see e.g., U.S. Pat. No. 4,436,727), cytoplasmic fraction, and the like. D. Compositions In one embodiment, is provided a composition comprising non-viable Gram-negative bacterial organisms having a substantial reduction in endotoxin and/or pyrogenic activity and a pharmaceutically acceptable excipient. In another embodiment, at least about 80% of the organisms are non-viable or at least about 90% of the organisms are non-viable, or about 100% of the organisms are non-viable. In one embodiment, the organisms have their viability reduced by about 80%, or by about 85%, or by about 90%, or by about 95%, or by about 100%. In one embodiment, the endotoxin and/or pyrogenic activity is reduced by about 70%, or by about 75%, or by about 80%, or by about 85%, or by about 90%, or by about 95%. The composition may contain any contemplated amount of non-viable or viability-reduced organisms in combination with any contemplated reduction in endotoxin or pyrogenic toxicity. In another embodiment, the composition comprises at least about 100% non-viable organisms having at least about 95% reduced endotoxin activity and pyrogenicity. Compositions described herein may be formulated in a variety of ways for use in the methods described herein. In one embodiment, the composition comprises the organisms as described throughout and a pharmaceutically acceptable carrier. “Pharmaceutically acceptable carriers” refers to any diluents, excipients, or carriers that may be used in the compositions. Pharmaceutically acceptable carriers include ion exchangers, alumina, aluminum stearate, lecithin, serum proteins, such as human serum albumin, buffer substances, such as phosphates, glycine, sorbic acid, potassium sorbate, partial glyceride mixtures of saturated vegetable fatty acids, water, salts or electrolytes, such as protamine sulfate, disodium hydrogen phosphate, potassium hydrogen phosphate, sodium chloride, zinc salts, colloidal silica, magnesium trisilicate, polyvinyl pyrrolidone, cellulose-based substances, polyethylene glycol, sodium carboxymethylcellulose, polyacrylates, waxes, polyethylene-polyoxypropylene-block polymers, polyethylene glycol and wool fat. Suitable pharmaceutical carriers are described in Remington's Pharmaceutical Sciences, Mack Publishing Company, a standard reference text in this field. They are selected with respect to the intended form of administration, that is, oral tablets, capsules, elixirs, syrups and the like, and consistent with conventional pharmaceutical practices. The pharmaceutical compositions may be manufactured by methods well known in the art such as microbial growth in fermenters, followed by concentration and washing by centrifugation, filtration or dialysis, conventional granulating, mixing, dissolving, encapsulating, lyophilizing, or emulsifying processes, among others. Compositions may be produced in various forms, including granules, precipitates, or particulates, powders, including freeze dried, rotary dried or spray dried powders, amorphous powders, injections, emulsions, elixirs, suspensions or solutions. Formulations may optionally contain stabilizers, pH modifiers, surfactants, bioavailability modifiers and combinations of these. Pharmaceutical compositions may be prepared as liquid suspensions or solutions using a sterile liquid, such as oil, water, alcohol, and combinations thereof. Pharmaceutically suitable surfactants, suspending agents or emulsifying agents, may be added for oral or parenteral administration. Suspensions may include oils, such as peanut oil, sesame oil, cottonseed oil, corn oil and olive oil. Suspension preparation may also contain esters of fatty acids, such as ethyl oleate, isopropyl myristate, fatty acid glycerides and acetylated fatty acid glycerides. Suspension formulations may include alcohols, such as ethanol, isopropyl alcohol, hexadecyl alcohol, glycerol and propylene glycol. Ethers, such as poly(ethyleneglycol), petroleum hydrocarbons, such as mineral oil and petrolatum, and water may also be used in suspension formulations. The compositions are formulated for pharmaceutical administration to a mammal, preferably a human being. Such pharmaceutical compositions of the invention may be administered in a variety of ways, including parenterally. The term “parenteral” as used herein includes subcutaneous, intravenous, intramuscular, intra-articular, intra-synovial, intrasternal, intrathecal, intrahepatic, intralesional and intracranial injection or infusion techniques. Sterile injectable forms of the compositions may be aqueous or oleaginous suspension. These suspensions may be formulated according to techniques known in the art using suitable dispersing or wetting agents and suspending agents. The sterile injectable preparation may also be a sterile injectable solution or suspension in a non-toxic parenterally acceptable diluent or solvent, for example as a solution in 1,3-butanediol. Among the acceptable vehicles and solvents that may be employed are water, Ringer's solution and isotonic sodium chloride solution. In addition, sterile, fixed oils are conventionally employed as a solvent or suspending medium. For this purpose, any bland fixed oil may be employed including synthetic mono- or di-glycerides. Fatty acids, such as oleic acid and its glyceride derivatives are useful in the preparation of injectables, as are natural pharmaceutically-acceptable oils, such as olive oil or castor oil, especially in their polyoxyethylated versions. These oil solutions or suspensions may also contain a long-chain alcohol diluent or dispersant, such as carboxymethyl cellulose or similar dispersing agents which are commonly used in the formulation of pharmaceutically acceptable dosage forms including emulsions and suspensions. Other commonly used surfactants, such as Tweens, Spans and other emulsifying agents or bioavailability enhancers which are commonly used in the manufacture of pharmaceutically acceptable solid, liquid, or other dosage forms may also be used for the purposes of formulation. Compositions may be formulated for parenteral administration by injection such as by bolus injection or continuous infusion. E. Methods for Treating Cancer Cancers suitable for treatment by the methods herein include generally carcinomas, leukemias or lymphomas, and sarcomas. Carcinomas may be of the anus, biliary tract, bladder, breast, colon, rectum, lung, oropharynx, hypopharynx, esophagus, stomach, pancreas, liver, kidney, gallbladder and bile ducts, small intestine, urinary tract, female genital tract, male genital tract, endocrine glands, thyroid, and skin. Other suitable cancers include carcinoid tumors, gastrointestinal stromal tumors, head and neck tumors, unknown primary tumors, hemangiomas, melanomas, malignant mesothelioma, multiple myeloma, and tumors of the brain, nerves, eyes, and meninges. In some embodiments, the cancers to be treated form solid tumors, such as carcinomas, sarcomas, melanomas and lymphomas. Cancer therapy, as described herein is achieved by administering an amount of Gram-negative (live or dead as appropriate) organisms that is sufficient to inhibit growth or metastasis of the cancer. As employed herein, the phrase “a sufficient amount,” refers to a dose (or series of doses) sufficient to impart a beneficial effect on the recipient thereof. The specific therapeutically effective dose level for any particular subject will depend upon a variety of factors including the type of cancer being treated, the severity of the cancer, the activity of the specific organism or combined composition, the route of administration, the rate of clearance of the organism or combined composition, the duration of treatment, the drugs (if any) used in combination with the organism, the age, body weight, sex, diet, and general health of the subject, and like factors well known in the medical arts and sciences. Various general considerations taken into account in determining the “therapeutically effective amount” are known to those of skill in the art and are described, e.g., in Gilman et al., eds., Goodman And Gilman's: The Pharmacological Bases of Therapeutics, 8th ed., Pergamon Press, 1990; and Remington's Pharmaceutical Sciences, 17th ed., Mack Publishing Co., Easton, Pa., 1990. Dosage levels typically fall in the range of about 0.001 up to 100 mg/kg/day; with levels in the range of about 0.05 up to 10 mg/kg/day being generally applicable for compounds. Dosage levels for administered organisms typically fall in the range of about 106 to 1012 per m2. A composition can be administered parenterally, such as intravascularly, intravenously, intraarterially, intramuscularly, subcutaneously, orally or the like. Bacterial organisms can be administered parenterally, such as intravascularly, intravenously, intraarterially, intramuscularly, subcutaneously, intraperitoneally, or intravesically. A therapeutically effective dose can be estimated by methods well known in the art. Cancer animal models such as immune-competent mice with murine tumors or immune-compromised mice (e.g. nude mice) with human tumor xenografts are well known in the art and extensively described in many references incorporated for reference herein. Such information is used in combination with safety studies in rats, dogs and/or non-human primates in order to determine safe and potentially useful initial doses in humans. Additional information for estimating dose of the organisms can come from studies in actual human cancer. For example, Toso et al. (J Clin Oncol. 20(1):142-52, 2002) report a phase I clinical trial in which live VNP20009 was administered to patients with metastatic melanoma. Patients received 30-minute intravenous bolus infusions containing 10(6) to 10(9) cfu/m(2) of VNP20009. The maximum-tolerated dose was 3×10(8) cfu/m(2). Dose-limiting toxicity was observed in patients receiving 1×10(9) cfu/m(2), which included thrombocytopenia, anemia, persistent bacteremia, hyperbilirubinemia, diarrhea, vomiting, nausea, elevated alkaline phosphatase, and hypophosphatemia. The organisms may be administered as a pharmaceutically acceptable formulation. The term “pharmaceutically acceptable” means a material that is not biologically or otherwise undesirable, i.e., the material may be administered to an individual along with the selected organism or combined compound without causing any undesirable biological effects or interacting in a deleterious manner with any of other administered agents. This is more thoroughly described above. The term “treating” a subject for a condition or disease, as used herein, is intended to encompass curing, as well as ameliorating at least one symptom of the condition or disease. Cancer patients are treated if the patient is cured of the cancer, the cancer goes into remission, survival is lengthened in a statistically significant fashion, time to tumor progression is increased in a statistically significant fashion, there is a reduction in lymphocytic or hematopoietic tumor burden based on standard criteria established for each type of lymphocytic or hematopoietic malignancy, or solid tumor burden has been decreased as defined by response evaluation criteria in solid tumors (RECIST 1.0 or RECIST 1.1, Therasse et al. J Natl. Cancer Inst. 92(3):205-216, 2000 and Eisenhauer et al. Eur. J. Cancer 45:228-247, 2009). As used herein, “remission” refers to absence of growing cancer cells in the patient previously having evidence of cancer. Thus, a cancer patient in remission is either cured of their cancer or the cancer is present but not readily detectable. Thus, cancer may be in remission when the tumor fails to enlarge or to metastesize. Complete remission as used herein is the absence of disease as indicated by diagnostic methods, such as imaging, such as x-ray, MRI, CT and PET, or blood or bone marrow biopsy. When a cancer patient goes into remission, this may be followed by relapse, where the cancer reappears. The term “substantially” unless indicated otherwise means greater than about 80%, greater than about 90%, greater than about 95% and greater than about 99%. F. Combinations for Treating Cancer The methods of cancer therapy described herein may employ administration of Gram-negative organisms together with one or more antagonists of receptors or ligands that negatively modulate the host immune response. Antagonists may be directed to PD-1, PD-L1 or CTLA-4 and typically are administered intravenously, for example at a dose range of about 0.03 milligram per kilogram to about 30 milligram per kilogram every 1 to 4 weeks. Programmed cell death protein 1 (PD-1) is a protein that in humans is encoded by the PDCD1 gene. PD-1 has also been designated as CD279 (cluster of differentiation 279). PD-1 is a type I membrane protein of 268 amino acids. PD-1 is a member of the extended CD28/CTLA-4 family of T cell regulators. See, e.g., Ishida et al., EMBO J. 11 (11): 3887-95, 1992. The proteins contain an extracellular IgV domain followed by a transmembrane region and an intracellular tail. The intracellular tail contains two phosphorylation sites within in an immunoreceptor tyrosine-based inhibitory motif and an immunoreceptor tyrosine-based switch motif. This suggests that PD-1 negatively regulates TCR signaling. PD-1 is expressed on the surface of activated T cells, B cells, and macrophages. PD-1 is a broad negative regulator of immune responses. PD-1 has two ligands, PD-L1 and PD-L2, which are members of the B7 family. See, e.g., Freeman et al., J. Exp. Med. 192 (7):1027-34, 2000 and Latchman et al., Nat. Immunol. 2(3): 261-8, 2001. PD-L1 is a 40 kDa type 1 transmembrane protein that has been reported to play a major role in suppressing the immune system during pregnancy, tissue allografts, autoimmune disease and hepatitis. PD-L1 protein is upregulated on macrophages and dendritic cells (DC) in response to LPS and GM-CSF treatment, and on T cells and B cells upon TCR and B cell receptor signaling. The formation of a PD-1 receptor/PD-L1 ligand complex transmits an inhibitory signal which reduces the proliferation of CD8+ T cells (during an immune response) at the lymph nodes and PD-1 also can control the accumulation of foreign antigen specific T cells in the lymph nodes through apoptosis. PD-L2 expression is more restricted and is expressed mainly by dendritic cells and a few tumor lines. CTLA-4 (Cytotoxic T-Lymphocyte Antigen 4), also known as CD152 (Cluster of differentiation 152), is a protein receptor that downregulates the immune system. CTLA-4 is expressed on the surface of helper, effector and immunoregulatory T-cells, which lead the cellular immune attack on antigens. The T cell can be turned on by stimulating the CD28 receptor or turned off by stimulating the CTLA-4 receptor. CTLA-4, like that of the T-cell costimulatory protein, CD28, bind to CD80 and CD86, also called B7-1 and B7-2, respectively, on antigen-presenting cells. T-cell activation through the T-cell receptor and CD28 leads to increased expression of CTLA-4, an inhibitory receptor for B7 molecules. Enhancing or prolonging T-cell activation has been achieved by monoclonal antibodies (mAbs) to CTLA-4 and PD-1. Ipilimumab and tremelimumab are monoclonal antibodies that inhibit CTLA-4, and have been shown to induce or enhance anti-tumor immune responses leading to durable anti-tumor effects. Ipilimumab (also known as MDX-010 or MDX-101), marketed in the U.S. under the name Yervoy, is sold by Bristol Myers Squibb for the treatment of unresectable or metastatic malignant melanoma. BMS-936558 (MDX-1106) is a monoclonal antibody against PD-1 and has exhibited significant anti-tumor activity in human clinical trials. See, e.g., Brahmer et al., J. Clin. Oncol., 28(19):3167-3175, 2010, Brahmer et al., N. Engl. J. Med., 366(26):2455-2465, 2012; and Lipson et al., Clin. Can. Res. 19(2):462-468, 2013. Inhibition of CTLA-4 also may be achieved by a fusion protein (CTLA4Ig) made up of CTLA-4 and Fc of immunoglobulin (Ig) heavy chain. See, e.g., Park et al., Pharm Res. 20(8):1239-48, 2003. An additional important negative regulator of the immune response in the tumor microenvironment is the signal transducer and activator of transcription (STAT) signal responsive transcription factor STAT3. Activity of this factor is elevated in tumor and associated immune cells. STAT3 activity in tumor cells contributes to enhanced survival, proliferation, invasion and metastasis, as well as stimulation of angiogenesis. Elevated STAT3 activity in immune cells leads to accumulation and activation of immunosuppressive cells, such as Treg, Th17 and myeloid derived suppressor cells within the tumor microenvironment. See e.g., Rébé et al. (JAK-STAT 2(1):e23010-1-10, 2013) for review. The widely used type 2 diabetes drugs metformin and phenformin have been shown to have antitumor activity and the mechanism is thought to include inhibition of STAT3 activity, resulting in decreased anti-tumor immunosuppression. See e.g., Deng et al., (Cell Cycle 11(2):367-376, 2012), Hirsch et al., (Proc. Natl. Acad. Sci., USA 110(3):972-977, 2013), Appleyard et al., (British J Cancer 106:1117-1122, 2012), Jiralerspong et al., (J Clin Oncol. 27(20):3297-3302, 2009), and Del Barco et al., (Oncotarget 2(12):896-917, 2011) for review. The methods of cancer therapy described herein may employ administration of Gram-negative organisms together with an inhibitor of STAT3 expression or activity. Such inhibitors may include metformin and phenformin. Metformin maybe administered, for example at a dose range of between about 50 milligrams to about 1,000 milligrams, usually 1 to 3 times per day. Phenformin is typically administered at a dose range of between about 20 milligrams to about 800 milligrams 1 to 2 times per day. The methods of cancer therapy described herein may also employ administration of Gram-negative organisms together with one or more agonists of receptors or ligands that positively modulate the host immune response. Agonists directed to 4-1BB (CD137), GITR, CD40 or OX40 (CD134) and can be administered, for example intravenously at a dose range of between about 0.03 milligram per kilogram to about 30 milligram per kilogram every 1 to 4 weeks. Glucocorticoid inducible tumor necrosis factor receptor (TNFR)-related protein (GITR), 4-1BB (CD137), CD40 and OX40 (CD134) are costimulatory TNFR family members that are expressed on regulatory and effector T cells as well as on other cells of the immune system. Activation of these proteins leads to stimulation or enhancement of immune function. Activating monoclonal antibodies for each of these proteins have exhibited anti-tumor activity in preclinical models and have entered clinical development. See, e.g., Melero et al., Clin. Cancer Res. 15(5):1507-1509, 2009, Garber, JNCI 103(14):1079-1082, 2011, Khong et al., Int. Rev. Immunol. 31(4):246-266, 2012, Vinay and Kwon, Mol. Cancer Ther. 11(5):1062-1070, 2012, Snell et al., Immunol. Rev. 244(1):197-217, 2011, and So et al., Cytokine Growth Factor Rev. 19(3-4):253-262, 2008. The methods of cancer therapy described herein may also employ administration of Gram-negative organisms together with one or more chemotherapeutic agents. Such agents may include cyclophosphamide. It is contemplated that when cyclophosphamide is used in the methods described herein, it may administered in a dose of between 5 mg/m2 to 750 mg/m2 intravenously or orally daily or every 21 days. Alternatively, cyclophosphamide may be administered, for example, in a metronomic regimen at a dose of between 5 mg to 100 mg orally daily. See, for example, Jia et al., Int. J. Cancer 121(3):666-674, 2007. Stimulation of anti-tumor immune responses has been demonstrated with various cytokines. See, for example, Smyth et al., Immunological Rev. 202:275-293, 2004 and Kim-Schulze, Surg. Oncol. Clin N. Am. 16:793-818, 2007 for reviews. The methods of cancer therapy described herein may also employ administration of Gram-negative organisms together with recombinantly expressed or isolated and purified cytokines, such as interferon-alpha, interferon-beta, interferon-gamma, granulocyte-macrophage colony-stimulating factor, interleukin-2, and interleukin-12. The methods of cancer therapy described herein may also employ Gram-negative bacteria administered together with recombinantly expressed or isolated and purified interferon-alpha. The interferon-alpha may be administered either subcutaneously, intramuscularly, or intravenously at a dose range of between about 3×105 to about 3×108 IU 1, 3, 5 or 7 times per week. In another embodiment, Gram-negative bacteria may be administered together with interferon-beta. In certain embodiments, the interferon-beta will be administered subcutaneously or intravenously at a dose range of between about 0.01 milligrams to about 5 milligrams either once a week or every other day. Interferon-gamma may also be co-administered. In one embodiment, the interferon-gamma may be administered either subcutaneously or intravenously at a dose range of between about 1×105 IU to about 1×109 IU either once or daily. In additional methods, interleukins (e.g. interleukin-2, and interleukin-12) may be co-administered. In one embodiment, interleukins may be administered intravenously in a dose of between about 1×104 to about 1×107 IU once per week or up to three times a day in combination with Gram-negative bacteria. Additional methods include Gram-negative bacteria being administered, for example with Granulocyte-macrophage colony-stimulating factor either subcutaneously, intradermal, or intravenously typically at a dose range of between about 5 micrograms to about 5 milligrams, either daily or monthly. In any of the combination treatments noted throughout, it is contemplated the organisms may be administered before or after the additional cancer treatment. They may also be administered concurrently. The following examples serve to illustrate the present disclosure. These examples are in no way intended to limit the scope of the disclosure. EXAMPLES Example 1 Optimal conditions for inactivation of lipopolysaccharide-associated endotoxin activity and bacterial cell killing by polymyxin B without loss of cell integrity are determined for each bacterial strain by incubating concentrated late log bacteria (109 to 1011 per mL) at 37° C. in phosphate buffered saline (PBS) with 1-100 μg/mL of polymyxin B for various times between 2 minutes and 6 hours. Viability is determined by serial dilution plating of control and treated bacterial suspensions on growth-compatible agar plates, followed by overnight incubation and colony counting. Cell integrity is determined by visual (microscope) examination and analysis of absorbance at 600 nm. Endotoxin activity is determined by the Limulus Amebocyte Lysate (LAL) assay. Soluble or excess polymyxin and cell debris, including soluble endotoxin, are removed by centrifugation-mediated washing with 0.9% NaCl (normal saline). Alternatively, optimal conditions for isolation of intact, non-viable bacteria with defective LPS, resulting from a conditional mutation, are determined as described for polymyxin treatment, except that bacteria are grown in LB (Lysogeny broth) medium under the non-permissive condition and removed at various times, followed by analysis and processing as described for polymyxin treatment. Polymyxin-treated bacteria or saline-washed late log phase LPS mutant/defective bacteria are freeze-dried using trehalose as the cryoprotectant (see, e.g., Leslie et al., App. Environment. Microbiol. 61(10):3592-3597, 1995; Gu et al., J. Biotech. 88:95-105, 2001 and American Type Culture Collection Bacterial Culture Guide). If desired, bacterial viability is further reduced by treatment with ionizing radiation at a dose sufficient to reduce viability to 0%, without loss of bacterial integrity. Freeze-dried bacteria are resuspended in sterile water prior to use in anti-tumor studies. PBS-washed murine tumor cells (B16 and B16F10 melanoma, CT-26 colorectal carcinoma, Panc02 pancreatic carcinoma or Lewis Lung carcinoma (105-107 cells, depending on cell line) are implanted subcutaneously on the back of shaved C57BL/6 mice. Mice are randomized and treatment is initiated when tumors can be first palpated, when tumors have reached an average volume of 75 mm3, or when tumors have reached an average volume of 300 mm3 (as estimated by caliper measurement). Resuspended bacteria are injected once to twice per week via the tail vein or intraperitoneally (i.p.) at individual doses ranging from 103 to 1010 per 0.1-0.2 mL injection volume. Antibody antagonists or agonists directed to T-cell receptors are administered i.p. at individual doses of 3-100 micrograms once to twice per week. Cyclophosphamide is administered i.p. at up to 150 mg/kg every other day for 5 days (MTD dosing) or at 25 mg/kg per day in the drinking water (metronomic dosing). Mice are weighed twice per week and clinical observations are recorded. Tumor measurements (by caliper) are carried out twice per week and mice are humanely sacrificed if/when tumors reach 1,000 mm3, become necrotic or if ≧15% weight loss is observed. Tumors are removed and weighed, and minimal necropsy is carried out with sacrificed mice. Mice may be re-challenged with tumor cell implantation if long-term tumor regression or cures are observed. Example 2 In Example 2, E. coli strain 2617-143-312 (Migula) Castellani and Chalmers (ATCC® 13070™) were used. This non-hazardous Gram-negative bacterium requires exogenous diaminopimelic acid (DAP) for growth. Since mammals do not make DAP, this bacterial strain is not viable and cannot cause infections in mammals. In addition, the DAP auxotrophy can be used to monitor contamination during in vitro studies. Bacteria were grown to late log phase (based on O.D.600) in LB Miller broth with 2 mM MgCl2, 0.5% glucose and 1 mM DAP at 37° C. with constant shaking at 300 rpm. The culture was washed three times by centrifugation at 2,000×g for 15 minutes and resuspension in 4° C. LB Miller broth containing 20 mM MgCl2, 0.5% glucose and 0.1 mM DAP (PMB treatment medium). Final resuspension was made at 2×1010 bacteria per mL, based on an O.D.600 of 1 being equal to 1.12×109 bacteria per mL. Individual aliquots of the culture were incubated without and with various concentrations of Polymyxin B (PMB) (Calbiochem #5291) for 1 hour at 4° C. with constant stirring. Bacteria were then washed three times with 4° C. fresh PMB treatment medium by centrifugation at 3,000×g for 10 minutes and resuspended at 2×109 bacteria per mL. Bacteria recovery efficiency was monitored by following O.D.600. Bacteria recovery after PMB treatment and wash was greater than 90% for all samples treated with up to 300 μg/mL PMB, and exceeded 80% for treatment with 1,000 μg/mL PMB. In FIG. 1, endotoxin activity was determined by analyzing serial dilutions of untreated and treated bacterial cultures with the Limulus Amebocyte Lysate (LAL) Endosafe Endochrome-K kinetic assay kit (Charles River Endosafe, Charleston, S.C.). Untreated cultures typically contained approximately 50-100 endotoxin units per 1×106 bacteria. Similar endotoxin reductions were observed for treatment with 1,000 μg/mL PMB in four independent experiments (average=17% of untreated). In FIG. 2, bacterial viability was determined by serially diluting and plating each sample on LB Miller agar plates containing 2 mM MgCl2, 0.5% glucose, with and without 1 mM DAP (to monitor viability and contamination, respectively). Plates were incubated overnight at 37° C., the number of colonies on each plate was determined, and then viability was calculated by multiplying the number of colonies on each plate by the dilution factor. The total number of bacteria in each suspension was calculated by multiplying the O.D.600 by the conversion factor of 1.12×109 bacteria/mL per O.D.600 of 1. Viability (% Live Bacteria) was calculated as the percent of viable bacteria/mL relative to the total number of bacteria. Treatment with 1,000 μg/mL PMB reduced bacteria viability to 0%. In subsequent scale-up experiments 1,000 μg PMB reduced viability to an average of 11% in four independent experiments. Example 3 The experiments were carried out as described in Example 2, except that pre-treatment washes, glutaraldehyde (GA) treatment and post-treatment washes were carried out with phosphate-buffered saline (PBS; Mg and Ca-free) pH 7.5, containing 20 mM MgCl2. Bacteria recovery after GA treatment, at all concentrations tested, was typically 80-100%. FIG. 3 demonstrates that treatment with 1% GA reduced endotoxin activity by 96%. A 2-liter scale-up experiment with 1% GA treatment produced an endotoxin activity reduction of 82%, relative to the untreated culture. Treatment with GA consistently produced 100% bacteria kill at doses above 0.05%, as demonstrated in FIG. 4. Combination of 1,000 μg/mL PMB treatment followed by 1% GA treatment using 2 liters of late log phase culture produced bacteria with 0% viability and a 92% (12-fold) reduction in endotoxin activity, relative to the untreated culture (Table 1). Example 4 In Example 4, bacteria were grown and treated with 1,000 μg/mL PMB, 1% GA or both as described in the protocols for Examples 2 and 3. Samples were diluted with PBS, pH 7.5 containing 1% GA (if not previously exposed to GA) and fixed for 10 minutes. Twenty-five microliter droplets containing the bacteria were placed on parafilm and then covered with a 100 mesh formvar+carbon EM grid (EMS, Hatfield, Pa.), which was pre-coated with 0.1% poly-L-lysine. Samples were allowed to adhere for 10 minutes and then the grids were washed briefly three times by placement on 200 microliter water droplets. The grids were negatively stained by placement for 1 minute on 100 microliter droplets of 2% uranyl acetate in water. Excess stain was blotted away with 3M filter paper, followed by air drying. Samples were visualized using an FEI Tecnai Spirit G2 BioTWIN transmission electron microscope equipped with a bottom mount Eagle 4k (16 megapixel) digital camera (magnifications 1,200× and 11,000×). The images in FIGS. 5B, 5C, and 5D confirm that PMB and/or GA treatments carried out according to the present methods leave the bacteria intact, which is a desirable result. A polysaccharide capsule is visible (fuzzy surface) on the untreated bacteria (FIG. 5A), but appears to have been removed or matted down in all treated bacteria (FIGS. 5B, 5C, and 5D). Example 5 For Example 5, E. coli were grown and treated with 1,000 μg/mL PMB plus 1% GA, and viability and endotoxin levels were determined as described for Examples 2 and 3. After final washing, untreated and PMB+GA-treated bacteria were resuspended in 50% PBS, pH 7.5, 0.5 mM MgCl2, 12% trehalose at a concentration of 1.1×1011 bacteria per mL, aliquoted, flash frozen and stored at −80° C. The pyrogenicity threshold was determined essentially as described in the United States Pharmacopeia, Chapter 151. Adult female New Zealand White rabbits weighing at least 2.0 kg were used. All animals were conditioned with a sham test not more than 7 days prior to the pyrogen test. Dose range-finding was carried out with one rabbit per dose and these results were subsequently confirmed with two rabbits per dose. Bacteria were diluted into sterile saline for injection. All doses were delivered via the intravenous route in a volume of 10 mL. The lowest concentration of test agent that produced a temperature increase of 0.5-1.0° C. at any time point within three hours of test agent administration was considered to represent the pyrogenicity threshold. Rectal temperatures were recorded at baseline and at 30 minute intervals between 1 and 3 hours following injection of test agent. Saline-diluted vehicle used for storage of untreated and treated E. coli was shown not to be pyrogenic. Administration of 3×104 untreated bacteria to two rabbits produced temperature increases of 0.8 and 1.0° C. Administration of 3×105 PMB+GA-treated bacteria did not produce a temperature increase of more than 0.1° C., but administration of 9×105 PMB+GA-treated bacteria to two rabbits produced temperature increases of 0.7 and 1.0° C., demonstrating a pyrogenicity threshold difference of 30×-fold. It is likely that PMB neutralizes only lipopolysaccharide-mediated pyrogenic activity. Whereas, GA may neutralize pyrogenicity mediated by lipopolysaccharide, as well as by other constituents of the bacteria. Table 1 demonstrates the pyrogenicity (febrile reaction) threshold for untreated bacteria and bacteria treated with both 1,000 μg/mL PMB and 1% GA, as measured by a standard in vivo rabbit test. The results are compared to endotoxin levels determined with the in vitro LAL assay, demonstrating that although PMB+GA treatment reduces endotoxin levels by 12-fold, pyrogenicity mediated by the same sample is reduced by 30-fold, compared to untreated bacteria. TABLE 1 Pyrogenicity Live Endotoxin Activity Threshold Treatment Bacteria LAL Assay Rabbit Assay No 83% 44.7 Units/106 Bacteria 3 × 104 Bacteria Treatment PMB + GA 0% 3.6 Units/106 Bacteria 9 × 105 Bacteria Max Reduction 12X 30X Example 6 In Example 6, E. coli were grown and treated with 1,000 μg/mL Polymyxin B plus 1% GA as described in the protocols for Examples 2 and 3. Frozen stocks of untreated and treated bacteria were thawed rapidly at 37° C. and either diluted at least 10-fold into sterile saline for injection (i.v. doses ≦3×109 bacteria) or centrifuged at 3,000×g for 10 minutes and resuspended in sterile saline for injection (i.v. doses ≧5×109). Bacteria or vehicles were injected i.v. via the tail vein in a volume of 100 microliters. Eight week old C57BL/6 or BALB/c female mice were used and acclimated for at least 7 days prior to studies. Mortality and clinical observations were performed once or twice per day. Additional observations were made at the time of and 1-4 hours after injections. Lack of toxicity by vehicles was confirmed. Cage side observations included but were not limited to the following: Changes in skin, fur, eyes, mucous membranes, gait, posture, and response to handling occurrence of secretions/excretions or other evidence of autonomic activity such as lacrimation, piloerection, unusual respiratory patterns; presence of seizures; changes in general alertness; stereotype behaviors such as excessive grooming and repetitive circling; unusual behaviors (self-mutilating); development of lumps/bumps (tumor, abscess, etc.); development of signs of stress and/or respiratory symptoms; observation of the injection sites for signs of irritation and inflammation; changes in food and water consumption and urine and feces output. Bacteria administration for multiple-dose studies was carried out twice per week for two weeks (4 treatments). Evaluation of toxicity included monitoring of animal weight. The mice used in the multiple-dose study reported for PMB+GA-treated bacteria at 1×109 were tumor-bearing. All other mice reported in Table 2 were non-tumor bearing. TABLE 2 Bacterial Single Dose Multiple (4) Dose Treatment Dose Observations Observations Untreated 3 × 108 Slightly lethargic at Slightly lethargic at 1-4 hr 1-4 hr 1 × 109 Lethargic at 1-4 hr Lethargic at 1-4 hr, 2 of 3 mice dead after 4th dose 5 × 109 Lethargic at 1-48 hr ND* Dead by 72 hr 1 × 1010 Dead by 18 hr ND PMB + 1 × 109 Slightly lethargic at Slightly lethargic at 1-4 hr, GA 1-4 hr ruffled fur 3 × 109 Lethargic at 1-4 hr Slightly lethargic, lethargic or ruffled fur up to 4 hr post treatment 5 × 109 Lethargic at 1-4 hr Slightly lethargic, lethargic or ruffled fur up to 4 hr post treatment 1 × 1010 Severely lethargic, Lethargic, slightly 1 of 3 mice dead by lethargic, ruffled fur and/or 24 hr shallow breathing *ND = not determined Example 7 In Example 7, 1,000 μg/mL PMB+1% GA-treated bacteria (DB103) were prepared as described in the protocols for Examples 2 and 3. Eight week old female C57BL/6J mice were shaved at the injection site and injected subcutaneously on the right flank with 2×105B16F10 murine melanoma cells (ATCC CRL-6475). Treatments were started via tail vein i.v. administration three days later and continued twice per week for a total of 5 treatments. DB103 in 50% PBS, pH 7.5, 0.5 mM MgCl2, 12% trehalose at a concentration of 1.1×1011 per mL were diluted 11-fold (1×109 dose) or 220-fold (5×107 dose) with sterile saline and injected in a final volume of 100 microliters. The stock vehicle was diluted 11-fold for the vehicle control treatment group. Tumors were measured with calipers twice weekly and tumor volume was determined using the formula (length×width2)/2. No compound-related deaths were observed. All animals developed tumors, with the exception of two animals treated with 1×109 DB103. Transient body weight loss of up to 3% (low dose group) and 7% (high dose group) was observed, but recovered after the last treatment (FIG. 6). Example 8 For Example 8, E. coli (untreated and 1% GA-treated) were prepared as described in the protocols for Examples 2 and 3. The experiment was carried out as described in the protocol for Example 7, except that treatment was started on day 11 when tumors were just palpable. Group measurements were not recorded after day 24 for most groups because a subset of animals in each of these groups had to be euthanized due to tumor burden. Tumors formed in all animals. Maximum weight loss in the 1×109 GA group was 11%. Toxicity precluded administration of 1×109 untreated E. coli (see Table 2). Example 9 In Example 9, 1,000 μg PMB+1% GA-treated bacteria (DB103) were prepared as described in the protocols for Examples 2 and 3. The experiment was carried out as described in the protocol for Example 7, except that 1×105 murine CT26 colorectal carcinoma cells were injected subcutaneously in the right flank of BALB/c mice. DB103 treatments were started via tail vein i.v. administration three days later and continued twice per week for a total of 6 treatments. Cyclophosphamide (LKT Laboratories, #C9606) was administered via the drinking water continuously, starting on day 3, at ˜20 mg/kg/day (0.133 mg/mL in water). Anti-murine CTLA-4 antibody (BioXcell #BE0164), 100 μg in 200 microliters PBS, was administered i.p. on days 3, 6 and 9. Clinical observations and mortality were recorded daily. Tumors were measured with calipers twice weekly and tumor volume was determined with the formula (length×width2)/2. Tumors formed in all mice in the vehicle group. No weight loss and no compound-related deaths were observed in any group. The data for the vehicle, low dose and high dose DB103 groups is the same in FIGS. 8A and 8B. All patents and publications mentioned in the specification are indicative of the levels of those of ordinary skill in the art to which the disclosure pertains. All patents and publications are herein incorporated by reference to the same extent as if each individual publication was specifically and individually indicated to be incorporated by reference. The disclosure illustratively described herein suitably may be practiced in the absence of any element or elements, limitation or limitations which is not specifically disclosed herein. Thus, for example, in each instance herein any of the terms “comprising,” “consisting essentially of” and “consisting of” may be replaced with either of the other two terms. The terms and expressions which have been employed are used as terms of description and not of limitation, and there is no intention that in the use of such terms and expressions of excluding any equivalents of the features shown and described or portions thereof, but it is recognized that various modifications are possible within the scope of the claims. Thus, it should be understood that although the present disclosure has been specifically described by preferred embodiments and optional features, modification and variation of the concepts herein disclosed may be resorted to by those skilled in the art, and that such modifications and variations are considered to be within the scope of this disclosure as defined by the appended claims. Other embodiments are set forth within the following claims.",A61K3574,A61K3574,20160121,,20160714,70962.0 3,15005424,ACCEPTED,Germanium FinFETs with Metal Gates and Stressors,An integrated circuit structure includes an n-type fin field effect transistor (FinFET) and a p-type FinFET. The n-type FinFET includes a first germanium fin over a substrate; a first gate dielectric on a top surface and sidewalls of the first germanium fin; and a first gate electrode on the first gate dielectric. The p-type FinFET includes a second germanium fin over the substrate; a second gate dielectric on a top surface and sidewalls of the second germanium fin; and a second gate electrode on the second gate dielectric. The first gate electrode and the second gate electrode are formed of a same material having a work function close to an intrinsic energy level of germanium.,"1. A method comprising: forming a first germanium-containing fin higher than top surfaces of Shallow Trench Isolation (STI) regions, wherein the STI regions are on opposite sides of the first germanium-containing fin and extend into a silicon substrate, and the first germanium-containing fin has a first germanium atomic percentage; forming a first gate stack on a middle portion of the first germanium-containing fin, with an end portion of the first germanium-containing fin exposed; etching the end portion of the first germanium-containing fin to form a recess; and re-growing a first source/drain region in the recess, wherein the first source/drain region has a second germanium atomic percentage lower than the first germanium atomic percentage. 2. The method of claim 1, wherein the first gate stack and the first source/drain region are parts of an n-type Fin Field Effect Transistor (FinFET). 3. The method of claim 1, wherein the first source/drain region extends below the top surfaces of the STI regions to contact a portion of the silicon substrate, with the portion of the silicon substrate extending between and at a same level as the STI regions. 4. The method of claim 1, wherein the first germanium-containing fin is free from silicon. 5. The method of claim 1 further comprising forming a p-type Fin Field Effect Transistor (FinFET) comprising: when the first germanium-containing fin is formed, simultaneously forming a second germanium-containing fin over the silicon substrate. 6. The method of claim 5 further comprising: forming a second gate stack on a middle portion of the second germanium-containing fin, with an end portion of the second germanium-containing fin exposed; etching the end portion of the second germanium-containing fin to form an additional recess; and re-growing a second source/drain region in the additional recess, wherein the second source/drain region has a third germanium atomic percentage higher than the first germanium atomic percentage. 7. The method of claim 6, wherein each of the forming the first gate stack and the forming the second gate stack comprises: forming a gate dielectric on a top surface and sidewalls of a respective one of the first germanium-containing fin and the second germanium-containing fin; and forming a gate electrode over the gate dielectric, wherein the gate electrode of the first gate stack and the gate electrode of the second gate stack are formed of a same material having a work function between about 4.25 eV and about 4.4 eV. 8. The method of claim 1, wherein the forming the first germanium-containing fin comprises: recessing a top portion of the silicon substrate between the STI regions to form an additional recess; re-growing a germanium-containing semiconductor material in the additional recess; and recessing the STI regions. 9. The method of claim 1 further comprising forming gate spacers on sidewalls of the first germanium-containing fin, wherein in the etching the end portion of the first germanium-containing fin, the middle portion of the first germanium-containing fin is protected by the first gate stack and the gate spacers. 10. A method comprising: forming Shallow Trench Isolation (STI) regions extending into a substrate, with the substrate comprising silicon; forming a first germanium fin and a second germanium fin higher than portions of the STI regions on opposite sides of respective ones of the first and the second germanium fins, wherein both the first and the second germanium fins have a first germanium atomic percentage; forming an n-type Fin Field Effect Transistor (FinFET) comprising: forming a first gate dielectric on a top surface and sidewalls of the first germanium fin; forming a first gate electrode over the first gate dielectric; etching a portion of the first germanium fin to form a first recess; and growing a first source/drain region comprising germanium in the first recess, with the first source/drain region having a second germanium atomic percentage lower than the first germanium atomic percentage, and the first source/drain region extends below top surfaces of the STI regions to contact the substrate; and forming a p-type FinFET comprising: forming a second gate dielectric on a top surface and sidewalls of the second germanium fin; forming a second gate electrode over the second gate dielectric; etching a portion of the second germanium fin to form a second recess; and growing a second source/drain region comprising germanium in the second recess, with the second source/drain region having a third germanium atomic percentage higher than the first germanium atomic percentage, wherein the second source/drain region extends below the top surfaces of the STI regions to contact the substrate, and wherein the first gate electrode and the second gate electrode are formed of a same material having a work function between 4.25 eV and 4.4 eV. 11. The method of claim 10, wherein the first and the second germanium fins are free from silicon. 12. The method of claim 10, wherein the first gate electrode and the second gate electrode are formed simultaneously, and are formed of a same metallic material. 13. The method of claim 10, wherein the growing the second source/drain region comprises growing germanium tin (GeSn). 14. The method of claim 10 further comprising: forming a third germanium fin underlying the first gate electrode, wherein the third germanium fin is physically separated from, and electrically connected to, the first germanium fin; and forming a fourth germanium fin underlying the second gate electrode, wherein the fourth germanium fin is physically separated from, and electrically connected to, the second germanium fin. 15. A method comprising: forming Shallow Trench Isolation (STI) regions extending into a substrate; forming a p-type Fin Field Effect Transistor (FinFET) comprising: forming a first germanium fin over the substrate, wherein the first germanium fin is a germanium fin without being doped with silicon; forming a first gate dielectric on a top surface and sidewalls of the first germanium fin; forming a first metal gate over the first gate dielectric; and growing a first source/drain region adjacent to the first metal gate; and forming an n-type FinFET comprising: forming a second germanium fin over the substrate, wherein the second germanium fin is a germanium fin without being doped with silicon; forming a second gate dielectric on a top surface and sidewalls of the second germanium fin; forming a second metal gate over the second gate dielectric, wherein the first metal gate and the second metal gate are formed simultaneously; and growing a second source/drain region adjacent to the second metal gate, wherein the second source/drain region comprises silicon germanium, with a germanium atomic percentage in the second source/drain region being lower than a germanium atomic percentage in the second germanium fin, wherein the second source/drain region extends below top surfaces of the STI regions to contact the substrate. 16. The method of claim 15, wherein the first germanium fin and the second germanium fin are formed simultaneously in a same epitaxial growth. 17. The method of claim 15, wherein the forming the first source/drain region of the p-type FinFET comprises growing a III-V compound semiconductor material. 18. The method of claim 15, wherein the forming the first source/drain region of the p-type FinFET comprises growing germanium tin (GeSn). 19. The method of claim 15, wherein the first metal gate and the second metal gate are formed of a same material having a work function between 4.25 eV and 4.4 eV. 20. The method of claim 15, wherein the first germanium fin and the second germanium fin are free from silicon."," BACKGROUND The speeds of metal-oxide-semiconductor (MOS) transistors are closely related to the drive currents of the MOS transistors, which drive currents are further closely related to the mobility of charges. For example, NMOS transistors have high drive currents when the electron mobility in their channel regions is high, while PMOS transistors have high drive currents when the hole mobility in their channel regions is high. Germanium is a commonly known semiconductor material. The electron mobility and hole mobility of germanium are greater (2.6 times and 4 times, respectively) than that of silicon, which is the most commonly used semiconductor material in the formation of integrated circuits. Hence, germanium is an excellent material for forming integrated circuits. An additional advantageous feature of germanium is that germanium's hole and electron motilities have a greater stress sensitivity than that of silicon. For example, FIG. 1 illustrates the hole mobility of germanium and silicon as a function of uni-axial compressive stresses. It is noted that with the increase in the compressive stress, the hole mobility of germanium increases at a faster rate than silicon, indicating that germanium-based PMOS devices have a greater potential to have high drive currents than silicon-based PMOS devices. Similarly, FIG. 2 illustrates the electron mobility of germanium and silicon as functions of uni-axial tensile stresses. It is noted that with the increase in the tensile stress, the electron mobility of germanium increases at a faster rate than that of silicon, indicating that germanium-based NMOS devices have a greater potential to have high drive currents than silicon-based NMOS devices. Germanium, however, also suffers from drawbacks. The bandgap of germanium is 0.66 eV, which is smaller than the bandgap of silicon (1.12 eV). This means that the substrate leakage currents of germanium-based MOS devices are high. In addition, the dielectric constant of germanium is 16, and is greater than the dielectric constant of silicon (11.9). Accordingly, the drain-induced barrier lowering (DIBL) of germanium-based MOS devices is also higher than that of silicon-based MOS devices."," SUMMARY In accordance with one aspect of the embodiment, an integrated circuit structure includes an n-type fin field effect transistor (FinFET) and a p-type FinFET. The n-type FinFET includes a first germanium fin over a substrate; a first gate dielectric on a top surface and sidewalls of the first germanium fin; and a first gate electrode on the first gate dielectric. The p-type FinFET includes a second germanium fin over the substrate; a second gate dielectric on a top surface and sidewalls of the second germanium fin; and a second gate electrode on the second gate dielectric. The first gate electrode and the second gate electrode are formed of a same material having a work function close to an intrinsic energy level of germanium. Other embodiments are also disclosed.","This application is a continuation of U.S. patent application Ser. No. 12/831,903, entitled “Germanium FinFETs with metal Gates and Stressors,” filed Jul. 7, 2010, which application claims the benefit of U.S. Provisional Application No. 61/245,547, filed on Sep. 24, 2009, and entitled “Germanium FinFETs with Metal Gates and Stressors,” which applications are hereby incorporated herein by reference. TECHNICAL FIELD This application relates generally to integrated circuit structures, and more particularly to the structures of fin field effect transistors (FinFETs) and the methods of forming the same. BACKGROUND The speeds of metal-oxide-semiconductor (MOS) transistors are closely related to the drive currents of the MOS transistors, which drive currents are further closely related to the mobility of charges. For example, NMOS transistors have high drive currents when the electron mobility in their channel regions is high, while PMOS transistors have high drive currents when the hole mobility in their channel regions is high. Germanium is a commonly known semiconductor material. The electron mobility and hole mobility of germanium are greater (2.6 times and 4 times, respectively) than that of silicon, which is the most commonly used semiconductor material in the formation of integrated circuits. Hence, germanium is an excellent material for forming integrated circuits. An additional advantageous feature of germanium is that germanium's hole and electron motilities have a greater stress sensitivity than that of silicon. For example, FIG. 1 illustrates the hole mobility of germanium and silicon as a function of uni-axial compressive stresses. It is noted that with the increase in the compressive stress, the hole mobility of germanium increases at a faster rate than silicon, indicating that germanium-based PMOS devices have a greater potential to have high drive currents than silicon-based PMOS devices. Similarly, FIG. 2 illustrates the electron mobility of germanium and silicon as functions of uni-axial tensile stresses. It is noted that with the increase in the tensile stress, the electron mobility of germanium increases at a faster rate than that of silicon, indicating that germanium-based NMOS devices have a greater potential to have high drive currents than silicon-based NMOS devices. Germanium, however, also suffers from drawbacks. The bandgap of germanium is 0.66 eV, which is smaller than the bandgap of silicon (1.12 eV). This means that the substrate leakage currents of germanium-based MOS devices are high. In addition, the dielectric constant of germanium is 16, and is greater than the dielectric constant of silicon (11.9). Accordingly, the drain-induced barrier lowering (DIBL) of germanium-based MOS devices is also higher than that of silicon-based MOS devices. SUMMARY In accordance with one aspect of the embodiment, an integrated circuit structure includes an n-type fin field effect transistor (FinFET) and a p-type FinFET. The n-type FinFET includes a first germanium fin over a substrate; a first gate dielectric on a top surface and sidewalls of the first germanium fin; and a first gate electrode on the first gate dielectric. The p-type FinFET includes a second germanium fin over the substrate; a second gate dielectric on a top surface and sidewalls of the second germanium fin; and a second gate electrode on the second gate dielectric. The first gate electrode and the second gate electrode are formed of a same material having a work function close to an intrinsic energy level of germanium. Other embodiments are also disclosed. BRIEF DESCRIPTION OF THE DRAWINGS For a more complete understanding of the embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which: FIG. 1 illustrates the hole mobilities of germanium and silicon as functions of uni- axial compressive stresses; FIG. 2 illustrates the electron mobilities of germanium and silicon as functions of uni-axial tensile stresses; FIGS. 3 through 9 are perspective views and cross-sectional views of intermediate stages in the manufacturing of germanium-based FinFETs in accordance with an embodiment; FIGS. 10-12 are perspective views and a cross-sectional view of multiple-fin FinFETs; and FIG. 13 illustrates the energy bands of germanium. DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS The making and using of the embodiments of the disclosure are discussed in detail below. It should be appreciated, however, that the embodiments provide many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the embodiments, and do not limit the scope of the disclosure. A novel fin field-effect transistor (FinFET) embodiment and the method of forming the same are presented. The intermediate stages of manufacturing the embodiment are illustrated. The variations of the embodiment are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. Referring to FIG. 3, an integrated circuit structure is formed. The integrated circuit structure includes substrate 20, which may be a silicon substrate, a germanium substrate, or a substrate formed of other semiconductor materials. Substrate 20 may be doped with a p-type or an n-type impurity. Isolation regions such as shallow trench isolation (STI) regions 22 may be formed in or over substrate 20. Germanium fins 124 and 224 are formed above the top surfaces of STI regions 22. In an exemplary embodiment, germanium fins 124 and 224 are formed by recessing top portions of substrate 20 between neighboring STI regions 22 to form recesses, and re-growing germanium in the recesses. Top portions of STI regions 22 may then be removed, while bottom portions of STI regions 22 are not removed, so that the top portion of the re-grown germanium between neighboring STI regions 22 becomes germanium fins. Germanium fins 124 and 224 may have a germanium atomic percentage greater than about 50 percent, for example. In an embodiment, fins 124 and 224 are formed of pure germanium. In alternative embodiments, fins 124 and 224 are formed of silicon germanium. Germanium fins 124 and 224 may have channel dopings. Germanium fin 124 may be doped with a p-type impurity such as boron, while germanium fin 224 may be doped with an n-type impurity such as phosphorous. The channel doping of germanium fins 124 and 224 may be lower than about 5E17/cm3, or as low as about 1E17/cm3. In an exemplary embodiment, the aspect ratios of germanium fins 124 and 224 (the ratio of heights H to widths W), may be greater than about 1, or even greater than about 5. Substrate 20 includes a portion in NMOS device region 100 and a portion in PMOS device region 200. Germanium fins 124 and 224 are in NMOS device region 100 and PMOS device region 200, respectively. Referring to FIG. 4, gate dielectric layer 32 and gate electrode layer 34 are deposited in both NMOS device region 100 and PMOS device region 200 and over germanium fins 124 and 224. In an embodiment, gate dielectric layer 32 is formed of a high-k dielectric material. The exemplary high-k materials may have k values greater than about 4.0, or even greater than about 7.0, and may include aluminum-containing dielectrics such as Al2O3, HfAlO, HfAlON, AlZrO, Hf-containing materials such as HfO2, HfSiOx, HfAlOx, HfZrSiOx, HfSiON, and/or other materials such as LaAlO3 and ZrO2. Gate electrode layer 34 is formed on gate dielectric layer 32, and may comprise metal. Gate electrode layer 34 may have a work function close to an intrinsic level (a middle level, which is about 4.33 eV) of the conduction band of germanium (4 eV) and the valance band of germanium (4.66 eV). In an embodiment, the work function of gate electrode layer 34 is between about 4.15 eV and about 4.5 eV, or even between about 4.25 eV and about 4.4 eV. Exemplary materials of gate electrode layer 34 include TixNy, TaxNy, Al, TaxCy, Pt, multi-layers thereof, and combinations thereof, with x and y being positive values. Gate electrode layer 34 and gate dielectric layer 32 are then patterned to form gate stacks, as is shown in FIG. 5. The gate stack in NMOS device region 100 includes gate electrode 134 and gate dielectric 132. The gate stack in PMOS device region 200 includes gate electrode 234 and gate dielectric 232. Each of germanium fins 124 and 224 thus has portions that are uncovered by the gate stacks. Referring to FIG. 6, gate spacers 136 and 236 may be formed. The exposed portions of germanium fins 124 and 224 not covered by gate dielectrics 132 and 232, gate electrodes 134 and 234, and gate spacers 136 and 236 are then removed (recessed), while the covered portion of germanium fins 124 and 224 are not removed. The removal may be performed by a dry etch. The spaces left by the removed portions of fins 124 and 224 are referred to as recesses 140 and 240, respectively, hereinafter. Recesses 140 and 240 may have bottoms level with top surfaces 35 of STI regions 22. Alternatively, the bottoms of recesses 140 and 240 may be lower than top surfaces 35 of STI regions 22, as illustrated in FIGS. 6. FIG. 7 (and subsequent FIGS. 8 and 9) illustrates a cross-sectional view of the structure shown in FIG. 6, wherein the cross-sectional view of NMOS device region 100 is obtained in a vertical plane crossing line 7-7 in FIG. 6, while the cross-sectional view of PMOS device region 200 is obtained in a vertical plane crossing line 7′-7′ in FIG. 6. It is noted that although FIG. 7 and subsequent FIGS. 8 and 9 illustrate that the cross-sectional views of NMOS device region 100 and PMOS devices 200 are in a same plane, they may actually be in different planes. Next, as shown in FIG. 8, PMOS region 200 is covered, for example, by photo resist 241, and source and drain (referred to as source/drain hereinafter) regions 142 are epitaxially grown in recesses 140 by selective epitaxial growth (SEG). Source/drain regions 142 are also alternatively referred to as source/drain stressors 142, and may have a lattice constant smaller than the lattice constant of germanium fin 124. In an exemplary embodiment, source/drain regions 142 comprise SiGe, and are formed using plasma enhanced chemical vapor deposition (PECVD), or other commonly used methods. The precursors may include Si-containing gases such as SiH4 and Ge-containing gases such as GeH4, and the partial pressures of the Si-containing gases and Ge-containing gases are adjusted to modify the atomic ratio of germanium to silicon. In an embodiment, the resulting source/drain regions 142 include between about 20 and about 60 atomic percent silicon. In alternative embodiments, source/drain region 142 may be formed of silicon carbon (SiC) or silicon with no carbon and/or germanium added. N-type impurities, such as phosphorous and/or arsenic, may be in-situ doped when the epitaxial growth proceeds. With the lattice constant of source/drain region 142 being smaller than that of germanium fin 124, source/drain regions 142 apply a tensile stress to germanium fin 124, which forms the channel region of the resulting n-type FinFET 150. After the epitaxial growth of source/drain regions 142, photo resistor 241 is removed. Referring to FIG. 9, NMOS device region 100 is covered, for example, by photo resist 141. Source/drains regions 242, which may also be referred to as a source/drain stressors 242, are epitaxially grown in recesses 240. Source/drain regions 242 may have a lattice constant greater than the lattice constant of germanium fin 224. Again, source/drain regions 242 may be formed using PECVD. In an embodiment, source/drain regions 242 comprise GeSn. In alternative embodiments, source/drain region 242 may be formed of compound semiconductor materials comprising group III and group V materials (referred to as III-V semiconductor materials hereinafter), such as InGaAs, InP, GaSb, InAs, AlSb, InSb, and the like. With the lattice constant of source/drain region 242 being greater than that of germanium fin 224, source/drain regions 242 apply a compressive stress to germanium fin 224, which forms the channel region of the resulting PMOS FinFET 250. After the epitaxial growth of source/drain regions 242, photo resistor 141 is removed. During the epitaxial process for forming source/drain regions 142 and 242, n-type impurities (such as phosphorous) and p-type impurities (such as boron), respectively, may be doped with the proceeding epitaxial processes. The impurity concentration may be between about 5×1020/cm3 and about 1×1021/cm3. In alternative embodiments, no p-type and n-type impurities are doped, while the doping of source/drain regions 142 and 242 are performed in implantation steps after the formation of source/drain regions 142 and 242. Next, silicide/germanide regions (not shown) may be formed on source/drain regions 142 and 242 by reacting source/drain regions 142 and 242 with a metal(s) to reduce the contact resistances. The formation details of silicide/germanide regions are known in the art, and hence are not repeated herein. Through the above-discussed process steps, n-type FinFET 150 and PMOS FinFET 250 are formed. In the above-discussed embodiments, single-fin FinFETs were discussed. Alternatively, the concept of the disclosure may be applied to multi-fin FinFETs. FIGS. 10 through 12 illustrate a cross-sectional view and perspective views of multi-fin FinFETs. Unless specified otherwise, like reference numerals are used to represent like elements. The materials of the elements shown in FIGS. 10-12 are thus not repeated herein. FIG. 10 illustrates a cross sectional view of an integrated circuit including n-type FinFET 150, PMOS FinFET 250, and dummy fin structures 350, including dummy fins 324, which are formed on substrate 320. Substrate 320 may be a germanium substrate or a silicon substrate. N-type FinFET 150 is formed on a p-well, and includes multiple germanium fins 124. Gate electrode 134 is formed over multiple germanium fins 124, so that multiple germanium fins 124 become the fins of a single n-type FinFET 150. Gate dielectrics 132 are formed between germanium fins 124 and gate electrode 134. Similarly, PMOS FinFET 250 is formed on an n-well, and includes multiple germanium fins 224. Gate electrode 234 is formed over multiple germanium fins 224, so that multiple germanium fins 224 become the fins of a single PMOS FinFET 250. Gate dielectrics 232 are formed between germanium fins 124 and gate electrode 134. In addition, dummy fins, which are not used in any FinFETs, are also formed to reduce the pattern-loading effect in the formation of germanium fins 124 and 224. With multiple fins used in a single FinFET, the drive current of the FinFET can be further increased. Since there is a lattice mismatch between germanium and silicon, it is easier achieve a high quality (with lower defect density) for a germanium epitaxy layer grown from a fin with a smaller fin width than from a fin with a greater fin width. FIGS. 11 and 12 illustrate perspective views of multiple FinFETs. The like elements in FIG. 11 can be found in FIG. 10. The FinFET may either be n-type FinFET 150 or PMOS FinFET 250, and hence is denoted as 150/250. In FIG. 11, source/drain regions (stressors) 142/242 are grown from germanium fins 124/224, and are discrete regions. In FIG. 12, source/drain regions (stressors) 142/242 grown from germanium fins 124/224 merge with each other. FIG. 13 illustrates the energy bands of germanium. It is noted that germanium has a conduction band Ec equal to 4 eV, a valence band Ev equal to 4.66 eV, and an intrinsic level Ei (which is (Ec+Ev)/2) equal to 4.33 eV. Therefore, the intrinsic level Ei and the conduction band Ec have an energy difference equal to about 330 mV, and the intrinsic level Ei and the valence band Ev have an energy difference equal to about 330 mV. The 330 mV energy difference may be utilized to simplify the formation of metal gates for n-type germanium FinFETs and p-type germanium FinFETs. Since in germanium FinFETs, the fully depleted channel results in the reduction in threshold voltages Vt, band-edge work functions are no longer needed. Instead, near-mid-bandgap work functions are needed to shift the threshold voltages Vt to target values accurately. Accordingly, for germanium-based FinFETs, with the work functions of metal gates of both n-type germanium FinFETs and p-type germanium FinFETs being close to the intrinsic level of about 4.33 eV, the requirements for optimizing the work functions of n-type FinFETs and p-type FinFETs can both be satisfied, even when a same metallic material is used forming the gates of n-type FinFETs and p-type FinFETs. In addition to the above-discussed advantageous features, the embodiments of the disclosure have several other advantageous features. By forming germanium-based FinFETs, the drive currents of n-type FinFETs and p-type FinFETs can be improved due to the high electron and hole mobilities of germanium. The leakage currents may also be reduced due to the reduced junction areas of FinFETs compared to planar MOS devices. Although the embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the embodiments as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the disclosure.",H01L218256,H01L218256,20160125,20170704,20160602,57821.0 4,14905065,ACCEPTED,MILK FAT GLOBULE EPIDERMAL GROWTH FACTOR 8 REGULATES FATTY ACID UPTAKE,"Methods and compositions for regulating fatty acid uptake and/or decreasing gastric motility in an animal are provided. The method comprises administering an antagonist of integrin αvβ3 or αvβ5 to an animal in an amount sufficient to reduce fatty acid uptake in the animal, thereby reducing fatty acid uptake in the animal. In some embodiments, the antagonist is an antibody. Also provided is a method of increasing fatty acid uptake and/or gastric motility in an animal.","1. A method of reducing fatty acid uptake in an animal, the method comprising, administering an antagonist of integrin αvβ3 or αvβ5 to an animal in an amount sufficient to reduce fatty acid uptake in the animal, thereby reducing fatty acid uptake in the animal. 2. The method of claim 1, wherein the animal is a human. 3. The method of claim 1, wherein the antagonist is an antibody. 4. The method of claim 3, wherein the antibody specifically binds to αvβ3. 5. The method of claim 3, wherein the antibody specifically binds to αvβ5. 6. The method of claim 3, wherein the antibody is a humanized or chimeric antibody. 7. The method of claim 1, wherein the antibody binds the same epitope as ALULA (the antibody produced by the hybridoma deposited under ATCC Deposit No. PTA-5817). 8. The method of claim 1, wherein the animal is obese. 9. The method of claim 1, wherein the antagonist is administered intravenously, subcutaneously, intramuscularly, rectally, or orally. 10. A method of increasing fatty acid uptake and/or decreasing gastric motility in an animal, the method comprising, administering a polypeptide comprising (i) Milk Fat Globule Epidermal Growth Factor 8 (Mfge8), or (ii) an integrin-binding portion of Mfge8, to an animal in an amount sufficient to increase fatty acid uptake and/or to decrease gastric motility in the animal. 11. The method of claim 10, wherein the Mfge8 is human Mfge8 (SEQ ID NO:1) or is at least 80% identical to (i) SEQ ID NO:1 or (ii) an integrin-binding portion of SEQ ID NO:1. 12. The method of claim 10, wherein the animal is human. 13. The method of claim 10, wherein the animal is under two or one years or under six, five, four, three, two, or one months old. 14. The method of claim 10, wherein the animal is a premature human infant. 15. The method of claim 10, wherein the animal is diabetic or has cystic fibrosis. 16. The method of claim 10, wherein the polypeptide is administered intravenously, subcutaneously, intramuscularly, rectally, or orally. 17. (canceled) 18. (canceled) 19. A composition comprising a polypeptide comprising (i) Milk Fat Globule Epidermal Growth Factor 8 (Mfge8), or (ii) an integrin-binding portion of Mfge8. 20. (canceled) 21. The composition of claim 19, wherein the composition is selected from the group consisting of a powder, a tablet, a capsule, a lozenge, a chewing gum, a food product, a supplemented beverage, or a medical food. 22. The composition of claim 19, further comprising a bovine milk protein, a soy protein, betalactoglobulin, whey, soybean oil or starch. 23. (canceled) 24. The method of claim 3, wherein the antibody is a humanized version of the ALULA antibody."," BACKGROUND OF THE INVENTION Obesity is a central feature of the metabolic syndrome, which leads to significant morbidity and mortality by increasing the risk of diabetes and cardiovascular disease. The absorption of dietary triglycerides with subsequent storage in adipose tissue is a key step in the development of obesity (Berk, P. D., et al., J Biol Chem 274, 28626-28631 (1999); Berk, P. D., et al., J Biol Chem 272, 8830-8835 (1997)). Under physiological conditions, cellular uptake of fatty acids occurs primarily through protein-mediated pathways consisting of a number of fatty acid transporters expressed in tissue-specific patterns (Stump, D. D., Fan, X. & Berk, P. D., J Lipid Res 42, 509-520 (2001); Anderson, C. M. & Stahl, A., Mol Aspects Med 34, 516-528 (2013)). Translocation of these transporters from the cytosol to the cell membrane is the major mechanism through which the rate of fatty acid uptake can be acutely regulated in response to dietary and metabolic cues (Stahl, A. et al., Developmental cell 2, 477-488 (2002); Luiken, J. J., et al., Am J Physiol Endocrinol Metab 282, E491-495 (2002); Luiken, J. J., et al., Diabetes 52, 1627-1634 (2003)). Fatty acid transporter translocation is regulated systemically by hormones and locally by muscle contraction (Stahl, A. et al., Developmental cell 2, 477-488 (2002); Luiken, J. J., et al., Am J Physiol Endocrinol Metab 282, E491-495 (2002); Luiken, J. J., et al., Diabetes 52, 1627-1634 (2003)). Mfge8 is an integrin ligand (Hanayama, R., et al., Nature 417, 182-187 (2002)) that is highly expressed in the adipose tissue of mice on a high-fat diet (HFD) (Aoki, N. et al., Endocrinology 148, 3850-3862 (2007)). Both the expression of Mfge8 and the integrin receptors for Mfge8 are increased in the adipose tissue of obese humans (Henegar, C. et al., Genome Biol 9, R14 (2008))."," BRIEF SUMMARY OF THE INVENTION Methods of reducing fatty acid uptake in an animal are provided. In some embodiments, the method comprises administering an antagonist of integrin αvβ3 or αvβ5 to an animal in an amount sufficient to reduce fatty acid uptake in the animal, thereby reducing fatty acid uptake in the animal. In some embodiments, the animal is a human. In some embodiments, the antagonist is an antibody In some embodiments, the antibody specifically binds to αvβ3. In some embodiments, the antibody specifically binds to αvβ5 In some embodiments, the antibody is a humanized or chimeric antibody. In some embodiments, the antibody binds the same epitope as ALULA (the antibody produced by the hybridoma deposited under ATCC Deposit No. PTA-5817). In some embodiments, the animal is obese. In some embodiments, the animal has reduced insulin sensitivity. In some embodiments, the animal is insulin resistant. In some embodiments, the antagonist is administered intravenously, intraperitoneally, subcutaneously, intramuscularly, rectally, or orally. Also provides is a method of increasing fatty acid uptake and/or gastric motility in an animal. In some embodiments, the method comprises administering a polypeptide comprising (i) Milk Fat Globule Epidermal Growth Factor 8 (Mfge8), or (ii) an integrin-binding portion of Mfge8, to an animal in an amount sufficient to increase fatty acid uptake and/or to gastric motility in the animal. In some embodiments, the Mfge8 is human Mfge 8 (SEQ ID NO:1) or is at least 80% identical to (i) SEQ ID NO:1 or (ii) an integrin-binding portion of SEQ ID NO:1. In some embodiments, the animal is human. In some embodiments, the animal (e.g., human) is under two or one years or under six, five, four, three, two, or one months old. In some embodiments, the animal is a premature human infant. In some embodiments, the animal is diabetic or has cystic fibrosis. In some embodiments, the polypeptide is administered intravenously, intraperitoneally, subcutaneously, intramuscularly, rectally, or orally. In some embodiments, the polypeptide is administered as a component of a composition selected from the group consisting of a powder, a tablet, a capsule, a lozenge, a chewing gum, a food product, a supplemented beverage, or a medical food. In some embodiments, the food product is infant formula. Also provided is a composition comprising a polypeptide comprising (i) Milk Fat Globule Epidermal Growth Factor 8 (Mfge8), or (ii) an integrin-binding portion of Mfge8. In some embodiments, the Mfge8 is human Mfge 8 (SEQ ID NO:1) or is at least 80% identical to (i) SEQ ID NO:1 or (ii) an integrin-binding portion of SEQ ID NO:1. In some embodiments, the composition is selected from the group consisting of a powder, a tablet, a capsule, a lozenge, a chewing gum, a food product, a supplemented beverage, or a medical food. In some embodiments, the composition further comprises a bovine milk protein, a soy protein, betalactoglobulin, whey, soybean oil or starch. In some embodiments, said supplemented beverage is a member selected from the group consisting of an infant formula, follow-on formula, toddler's beverage, milk, fruit juice, and fruit-based drink.","CROSS REFERENCE TO RELATED APPLICATIONS The present application claims priority to U.S. Provisional Application No. 61/856,475, filed Jul. 19, 2013 and U.S. Provisional Application No. 61/873,134, filed Sep. 3, 2013, the disclosures of which are herein incorporated by reference in their entirety for all purposes. BACKGROUND OF THE INVENTION Obesity is a central feature of the metabolic syndrome, which leads to significant morbidity and mortality by increasing the risk of diabetes and cardiovascular disease. The absorption of dietary triglycerides with subsequent storage in adipose tissue is a key step in the development of obesity (Berk, P. D., et al., J Biol Chem 274, 28626-28631 (1999); Berk, P. D., et al., J Biol Chem 272, 8830-8835 (1997)). Under physiological conditions, cellular uptake of fatty acids occurs primarily through protein-mediated pathways consisting of a number of fatty acid transporters expressed in tissue-specific patterns (Stump, D. D., Fan, X. & Berk, P. D., J Lipid Res 42, 509-520 (2001); Anderson, C. M. & Stahl, A., Mol Aspects Med 34, 516-528 (2013)). Translocation of these transporters from the cytosol to the cell membrane is the major mechanism through which the rate of fatty acid uptake can be acutely regulated in response to dietary and metabolic cues (Stahl, A. et al., Developmental cell 2, 477-488 (2002); Luiken, J. J., et al., Am J Physiol Endocrinol Metab 282, E491-495 (2002); Luiken, J. J., et al., Diabetes 52, 1627-1634 (2003)). Fatty acid transporter translocation is regulated systemically by hormones and locally by muscle contraction (Stahl, A. et al., Developmental cell 2, 477-488 (2002); Luiken, J. J., et al., Am J Physiol Endocrinol Metab 282, E491-495 (2002); Luiken, J. J., et al., Diabetes 52, 1627-1634 (2003)). Mfge8 is an integrin ligand (Hanayama, R., et al., Nature 417, 182-187 (2002)) that is highly expressed in the adipose tissue of mice on a high-fat diet (HFD) (Aoki, N. et al., Endocrinology 148, 3850-3862 (2007)). Both the expression of Mfge8 and the integrin receptors for Mfge8 are increased in the adipose tissue of obese humans (Henegar, C. et al., Genome Biol 9, R14 (2008)). DEFINITIONS An integrin “antagonist” is any agent that competes with an endogenous integrin ligand for available ligand binding sites on an integrin. A “therapeutic dose,” “therapeutic amount,” “therapeutically effective amount,” or “effective amount” of a molecule antagonist is an amount of the molecule that prevents, alleviates, abates, or reduces the severity of symptoms of a disease or condition to be treated, e.g., a condition involving fatty acid uptake in a patient. As used herein, the terms “treat” and “prevent” are not intended to be absolute terms. Treatment can refer to any delay in onset, amelioration of symptoms, improvement in patient survival, reduction of tissue damage, etc. Indeed, in some embodiments, treatment according to the invention can result in reversal of the disease. Similarly, prevention can refer to any delay in onset or, depending on context, reduction in severity of symptoms. The effect of treatment can be compared to an individual or pool of individuals not receiving the treatment, or to the same patient, e.g., before treatment. The term “subject” is used broadly herein to refer to any individual that is considered for treatment. Typically, the subject is a human or some other mammal (e.g., an agricultural animal such as a cattle, pigs, sheep, horses, or goats or a pet such as a dog or a cat). The term “antibody” refers to a polypeptide encoded by an immunoglobulin gene or functional fragments thereof that specifically binds and recognizes an antigen. The recognized immunoglobulin genes include the kappa, lambda, alpha, gamma, delta, epsilon, and mu constant region genes, as well as the myriad immunoglobulin variable region genes. Light chains are classified as either kappa or lambda. Heavy chains are classified as gamma, mu, alpha, delta, or epsilon, which in turn define the immunoglobulin classes, IgG, IgM, IgA, IgD and IgE, respectively. An exemplary immunoglobulin (antibody) structural unit comprises a tetramer. Each tetramer is composed of two identical pairs of polypeptide chains, each pair having one “light” (about 25 kDa) and one “heavy” chain (about 50-70 kDa). The N-terminus of each chain defines a variable region of about 100 to 110 or more amino acids primarily responsible for antigen recognition. The terms “variable heavy chain,” “VH,” or “VH” refer to the variable region of an immunoglobulin heavy chain, including an Fv, scFv, dsFv or Fab; while the terms “variable light chain,” “VL” or “VL” refer to the variable region of an immunoglobulin light chain, including of an Fv, scFv, dsFv or Fab. Examples of antibody functional fragments include, but are not limited to, complete antibody molecules, antibody fragments, such as Fv, single chain Fv (scFv), complementarity determining regions (CDRs), VL (light chain variable region), VH (heavy chain variable region), Fab, F(ab)2′ and any combination of those or any other functional portion of an immunoglobulin peptide capable of binding to target antigen (see, e.g., FUNDAMENTAL IMMUNOLOGY (Paul ed., 4th ed. 2001). Various antibody fragments can be obtained by a variety of methods, for example, digestion of an intact antibody with an enzyme, such as pepsin; or de novo synthesis. Antibody fragments are often synthesized de novo either chemically or by using recombinant DNA methodology. Thus, the term antibody, as used herein, includes antibody fragments either produced by the modification of whole antibodies, or those synthesized de novo using recombinant DNA methodologies (e.g., single chain Fv) or those identified using phage display libraries (see, e.g., McCafferty et al., (1990) Nature 348:552). The term “antibody” also includes bivalent or bispecific molecules, diabodies, triabodies, and tetrabodies. Bivalent and bispecific molecules are described in, e.g., Kostelny et al. (1992) J. Immunol. 148:1547, Pack and Pluckthun (1992) Biochemistry 31:1579, Hollinger et al. (1993), PNAS. USA 90:6444, Gruber et al. (1994) J Immunol. 152:5368, Zhu et al. (1997) Protein Sci. 6:781, Hu et al. (1996) Cancer Res. 56:3055, Adams et al. (1993) Cancer Res. 53:4026, and McCartney, et al. (1995) Protein Eng. 8:301. “Single chain Fv (scFv)” or “single chain antibodies” refers to a protein wherein the VH and the VL regions of a scFv antibody comprise a single chain which is folded to create an antigen binding site similar to that found in two chain antibodies. Methods of making scFv antibodies have been described in e.g., Ward et al., Exp Hematol. (5):660-4 (1993); and Vaughan et al., Nat Biotechnol. 14(3):309-14 (1996). Single chain Fv (scFv) antibodies optionally include a peptide linker of no more than 50 amino acids, generally no more than 40 amino acids, preferably no more than 30 amino acids, and more preferably no more than 20 amino acids in length. In some embodiments, the peptide linker is a concatamer of the sequence Gly-Gly-Gly-Gly-Ser, e.g., 2, 3, 4, 5, or 6 such sequences. However, it is to be appreciated that some amino acid substitutions within the linker can be made. For example, a valine can be substituted for a glycine. Additional peptide linkers and their use are well-known in the art. See, e.g., Huston et al., Proc. Nat'l Acad. Sci. USA 8:5879 (1988); Bird et al., Science 242:4236 (1988); Glockshuber et al., Biochemistry 29:1362 (1990); U.S. Pat. No. 4,946,778, U.S. Pat. No. 5,132,405 and Stemmer et al., Biotechniques 14:256-265 (1993). As used herein, “chimeric antibody” refers to an immunoglobulin molecule in which (a) the constant region, or a portion thereof, is altered, replaced or exchanged so that the antigen binding site (variable region) is linked to a constant region of a different or altered class, effector function and/or species, or an entirely different molecule which confers new properties to the chimeric antibody, e.g., an enzyme, toxin, hormone, growth factor, drug, etc.; or (b) the variable region, or a portion thereof, is altered, replaced or exchanged with a variable region, or portion thereof, having a different or altered antigen specificity; or with corresponding sequences from another species or from another antibody class or subclass. As used herein, “humanized antibody” refers to an immunoglobulin molecule in which CDRs from a donor antibody are grafted onto human framework sequences. Humanized antibodies may also comprise residues of donor origin in the framework sequences. The humanized antibody can also comprise at least a portion of a human immunoglobulin constant region. Humanized antibodies may also comprise residues which are found neither in the recipient antibody nor in the imported CDR or framework sequences. Humanization can be performed using methods known in the art (e.g., Jones et al., Nature 321:522-525; 1986; Riechmann et al., Nature 332:323-327, 1988; Verhoeyen et al., Science 239:1534-1536, 1988); Presta, Curr. Op. Struct. Biol. 2:593-596, 1992; U.S. Pat. No. 4,816,567), including techniques such as “superhumanizing” antibodies (Tan et al., J. Immunol. 169: 1119, 2002) and “resurfacing” (e.g., Staelens et al., Mol. Immunol. 43: 1243, 2006; and Roguska et al., Proc. Natl. Acad. Sci USA 91: 969, 1994). As used herein, “V-region” refers to an antibody variable region domain comprising the segments of Framework 1 (F1), Complementarity Determining Region 1 (CDR1), F2, CDR2, and F3, including CDR3 and F4, which segments are added to the V-segment as a consequence of rearrangement of the heavy chain and light chain V-region genes during B-cell differentiation. A “V-segment” as used herein refers to the region of the V-region (heavy or light chain) that is encoded by a V gene. The V-segment of the heavy chain variable region encodes FR1-CDR1-FR2-CDR2 and FR3. For the purposes of this invention, the V-segment of the light chain variable region is defined as extending though FR3 up to CDR3. As used herein, the term “J-segment” refers to a subsequence of the variable region encoded comprising a C-terminal portion of a CDR3 and the FR4. An endogenous J-segment is encoded by an immunoglobulin J-gene. As used herein, “complementarity-determining region (CDR)” refers to one of the three hypervariable regions in each chain that interrupt the four “framework” regions established by the light and heavy chain variable regions. The CDRs are primarily responsible for binding to an epitope of an antigen. The CDRs of each chain are typically referred to as CDR1, CDR2, and CDR3, numbered sequentially starting from the N-terminus, and are also typically identified by the chain in which the particular CDR is located. Thus, for example, a VH CDR3 is located in the variable domain of the heavy chain of the antibody in which it is found, whereas a VL CDR1 is the CDR1 from the variable domain of the light chain of the antibody in which it is found. The sequences of the framework regions of different light or heavy chains are relatively conserved within a species. The framework region of an antibody, that is the combined framework regions of the constituent light and heavy chains, serves to position and align the CDRs in three dimensional space. Thus, the position of the CDRs within the V region is relatively conserved between antibodies. The amino acid sequences and positions of the CDRs and framework regions can be determined using various well known definitions in the art, e.g., Kabat, Chothia, international ImMunoGeneTics database (IMGT), and AbM (see, e.g., Johnson et al., supra; Chothia & Lesk, 1987, Canonical structures for the hypervariable regions of immunoglobulins. J. Mol. Biol. 196, 901-917; Chothia C. et al., 1989, Conformations of immunoglobulin hypervariable regions. Nature 342, 877-883; Chothia C. et al., 1992, structural repertoire of the human VH segments J. Mol. Biol. 227, 799-817; Al-Lazikani et al., J. Mol. Biol 1997, 273(4)). Definitions of antigen combining sites are also described in the following: Ruiz et al., IMGT, the international ImMunoGeneTics database. Nucleic Acids Res., 28, 219-221 (2000); and Lefranc, M.-P. IMGT, the international ImMunoGeneTics database. Nucleic Acids Res. January 1; 29(1):207-9 (2001); MacCallum et al, Antibody-antigen interactions: Contact analysis and binding site topography, J. Mol. Biol., 262 (5), 732-745 (1996); and Martin et al, Proc. Natl Acad. Sci. USA, 86, 9268-9272 (1989); Martin, et al, Methods Enzymol., 203, 121-153, (1991); Pedersen et al, Immunomethods, 1, 126, (1992); and Rees et al, In Sternberg M. J. E. (ed.), Protein Structure Prediction. Oxford University Press, Oxford, 141-172 1996). The phrase “specifically (or significantly or selectively) binds to” when referring to a given protein or peptide, refers to a binding reaction which is determinative of the presence of the protein in the presence of a heterogeneous population of proteins and other biologics. Thus, under designated immunoassay conditions, the specified antibodies or other antagonists bind to a particular protein (e.g., for an αvβ5-specific antibody, an αvβ5 integrin, β5, or portions thereof, or for an αvβ3-specific antibody, an χ, β3, or portions thereof) and do not bind in a significant amount to other proteins present in the sample. Specific binding to an antibody under such conditions may require an antibody that is selected for its specificity for a particular protein. For example, antibodies raised against an αvβ5 integrins or a β5 polypeptide can be further selected to obtain antibodies specifically immunoreactive with that protein and not with other proteins. In some embodiments, the specific antibody will also bind to polymorphic variants of the protein, e.g., proteins at least 80%, 85%, 90%, 95% or 99% identical to a sequence of interest. Generally, an αvβ5-specific antibody or an αvβ3-specific antibody binds to the β component of the integrin as αv has a number of different binding partners. However, as shown in the Example, an antibody specific for αv is effective in blocking fat uptake because the antibody targets both αvβ3 and αvβ5. “Specific” or “significant” binding are not intended to be absolute terms. For example, if an antibody does not significantly bind to a particular epitope, it binds with at least 5-fold, 8-fold, 10-fold, 20-fold, 50-fold, 80-fold, or 100-fold reduced affinity as compared to the epitope against which the antibody was raised. Binding affinity can be determined using techniques known in the art, e.g., ELISAs. Affinity can be expressed as dissociation constant (Kd or KD). A relatively higher Kd indicates lower affinity. Thus, for example, the Kd of an αvβ5-specific antibody for αvβ5 will typically be lower by a factor of at least 5, 8, 10, 15, 20, 50, 100, 500, 1000, or more than the Kd of the αvβ5-specific antibody with another protein. One of skill will understand how to design controls to indicate non-specific binding and compare relative binding levels. A variety of immunoassay formats may be used to select antibodies specifically immunoreactive with a particular protein. For example, solid-phase ELISA immunoassays, Western blots, or immunohistochemistry are routinely used to select monoclonal antibodies specifically immunoreactive with a protein. See, Harlow and Lane Antibodies, A Laboratory Manual, Cold Spring Harbor Publications, NY (1988) for a description of immunoassay formats and conditions that can be used to determine specific immunoreactivity. Typically, a specific or selective reaction will be at least twice the background signal or noise and more typically more than 10 to 100 times background. An agent that “specifically competes” for binding reduces the specific binding of an antibody to a polypeptide. A first antibody is considered to competitively inhibit binding of a second antibody, if binding of the second antibody to the antigen is reduced by at least 30%, usually at least about 40%, 50%, 60%, 75%, or at least about 90%, in the presence of the first antibody using any of the competitive binding assays known in the art (see, e.g., Harlow and Lane, supra). The term “equilibrium dissociation constant” or “affinity” abbreviated (Kd or KD), refers to the dissociation rate constant (kd, time−1) divided by the association rate constant (ka, time−1 M−1). Equilibrium dissociation constants can be measured using any known method in the art. Antibodies with high affinity have a monovalent affinity less than about 10 nM, and often less than about 500 pM or about 50 pM as determined by surface plasmon resonance analysis performed at 37° C. In some embodiments, the antibodies of the invention have an affinity (as measured using surface plasmon resonance), of less than 500 pM, typically less than about 100 pM, or even less than 25 pM. The terms “polypeptide,” “peptide” and “protein” are used interchangeably herein to refer to a polymer of amino acid residues. The terms apply to amino acid polymers in which one or more amino acid residue is an artificial chemical mimetic of a corresponding naturally occurring amino acid, as well as to naturally occurring amino acid polymers and non-naturally occurring amino acid polymers. As used herein, the terms encompass amino acid chains of any length, including full length proteins (i.e., antigens), wherein the amino acid residues are linked by covalent peptide bonds. For example, the Mfge8 polypeptides described herein can contain one of more non-naturally-occurring amino acid. The term “amino acid” refers to naturally occurring and synthetic amino acids, as well as amino acid analogs and amino acid mimetics that function in a manner similar to the naturally occurring amino acids. Naturally occurring amino acids are those encoded by the genetic code, as well as those amino acids that are later modified, e.g., hydroxyproline, γ-carboxyglutamate, and O-phosphoserine. Amino acid analogs refers to compounds that have the same basic chemical structure as a naturally occurring amino acid, i.e., an α carbon that is bound to a hydrogen, a carboxyl group, an amino group, and an R group, e.g., homoserine, norleucine, methionine sulfoxide, methionine methyl sulfonium. Such analogs have modified R groups (e.g., norleucine) or modified peptide backbones, but retain the same basic chemical structure as a naturally occurring amino acid. “Amino acid mimetics” refers to chemical compounds that have a structure that is different from the general chemical structure of an amino acid, but that functions in a manner similar to a naturally occurring amino acid. Amino acids may be referred to herein by either their commonly known three letter symbols or by the one-letter symbols recommended by the IUPAC-IUB Biochemical Nomenclature Commission. Nucleotides, likewise, may be referred to by their commonly accepted single-letter codes. The terms “peptidomimetic” and “mimetic” refer to a synthetic chemical compound that has substantially the same structural and functional characteristics of Mfge8 polypeptides or αvβ5 and/or αvβ5 antagonists. Peptide analogs are commonly used in the pharmaceutical industry as non-peptide drugs with properties analogous to those of the template peptide. These types of non-peptide compound are termed “peptide mimetics” or “peptidomimetics” (see, e.g., Fauchere, J. Adv. Drug Res. 15:29 (1986); Veber and Freidinger TINS p. 392 (1985); and Evans et al. J. Med. Chem. 30:1229 (1987)). Peptide mimetics that are structurally similar to therapeutically useful peptides may be used to produce an equivalent or enhanced therapeutic or prophylactic effect. Generally, peptidomimetics are structurally similar to a paradigm polypeptide (i.e., a polypeptide that has a biological or pharmacological activity), such as a naturally occurring αvβ5 ligand, but have one or more peptide linkages optionally replaced by a linkage selected from the group consisting of, e.g., —CH2NH—, —CH2S—, —CH2-CH2-, —CH═CH— (cis and trans), —COCH2-, —CH(OH)CH2-, and —CH2SO—. The mimetic can be either entirely composed of synthetic, non-natural analogues of amino acids, or, is a chimeric molecule of partly natural peptide amino acids and partly non-natural analogs of amino acids. The mimetic can also incorporate any amount of natural amino acid conservative substitutions as long as such substitutions also do not substantially alter the mimetic's structure and/or activity. As used herein, the terms “nucleic acid” and “polynucleotide” are used interchangeably. Use of the term “polynucleotide” includes oligonucleotides (i.e., short polynucleotides). This term also refers to deoxyribonucleotides, ribonucleotides, and naturally occurring variants, and can also refer to synthetic and/or non-naturally occurring nucleic acids (i.e., comprising nucleic acid analogues or modified backbone residues or linkages), such as, for example and without limitation, phosphorothioates, phosphoramidates, methyl phosphonates, chiral-methyl phosphonates, 2-O-methyl ribonucleotides, peptide-nucleic acids (PNAs), and the like. Unless otherwise indicated, a particular nucleic acid sequence also implicitly encompasses conservatively modified variants thereof (e.g., degenerate codon substitutions) and complementary sequences as well as the sequence explicitly indicated. Specifically, degenerate codon substitutions may be achieved by generating sequences in which the third position of one or more selected (or all) codons is substituted with mixed-base and/or deoxyinosine residues (see, e.g., Batzer et al., Nucleic Acid Res. 19:5081 (1991); Ohtsuka et al., J. Biol. Chem. 260:2605-2608 (1985); and Cassol et al. (1992); Rossolini et al., Mol. Cell. Probes 8:91-98 (1994)). “Conservatively modified variants” applies to both amino acid and nucleic acid sequences. With respect to particular nucleic acid sequences, conservatively modified variants refers to those nucleic acids which encode identical or essentially identical amino acid sequences, or where the nucleic acid does not encode an amino acid sequence, to essentially identical sequences. Because of the degeneracy of the genetic code, a large number of functionally identical nucleic acids encode any given protein. For instance, the codons GCA, GCC, GCG and GCU all encode the amino acid alanine Thus, at every position where an alanine is specified by a codon, the codon can be altered to any of the corresponding codons described without altering the encoded polypeptide. Such nucleic acid variations are “silent variations,” which are one species of conservatively modified variations. Every nucleic acid sequence herein which encodes a polypeptide also describes every possible silent variation of the nucleic acid. One of skill will recognize that each codon in a nucleic acid (except AUG, which is ordinarily the only codon for methionine, and TGG, which is ordinarily the only codon for tryptophan) can be modified to yield a functionally identical molecule. Accordingly, each silent variation of a nucleic acid that encodes a polypeptide is implicit in each described sequence. As to amino acid sequences, one of skill will recognize that individual substitutions, deletions or additions to a nucleic acid, peptide, polypeptide, or protein sequence which alters, adds or deletes a single amino acid or a small percentage of amino acids in the encoded sequence is a “conservatively modified variant” where the alteration results in the substitution of an amino acid with a chemically similar amino acid. Conservative substitution tables providing functionally similar amino acids are well known in the art. Such conservatively modified variants are in addition to and do not exclude polymorphic variants, interspecies homologs, and alleles of the invention. The following eight groups each contain amino acids that are conservative substitutions for one another: 1) Alanine (A), Glycine (G); 2) Aspartic acid (D), Glutamic acid (E); 3) Asparagine (N), Glutamine (Q); 4) Arginine (R), Lysine (K); 5) Isoleucine (I), Leucine (L), Methionine (M), Valine (V); 6) Phenylalanine (F), Tyrosine (Y), Tryptophan (W); 7) Serine (S), Threonine (T); and 8) Cysteine (C), Methionine (M) (see, e.g., Creighton, Proteins (1984)). “Percentage of sequence identity” is determined by comparing two optimally aligned sequences over a comparison window, wherein the portion of the polynucleotide sequence in the comparison window may comprise additions or deletions (i.e., gaps) as compared to the reference sequence (e.g., a polypeptide of the invention), which does not comprise additions or deletions, for optimal alignment of the two sequences. The percentage is calculated by determining the number of positions at which the identical nucleic acid base or amino acid residue occurs in both sequences to yield the number of matched positions, dividing the number of matched positions by the total number of positions in the window of comparison and multiplying the result by 100 to yield the percentage of sequence identity. The terms “identical” or percent “identity,” in the context of two or more nucleic acids or polypeptide sequences, refer to two or more sequences or subsequences that are the same sequences. Two sequences are “substantially identical” if two sequences have a specified percentage of amino acid residues or nucleotides that are the same (i.e., 60% identity, optionally 65%, 70%, 75%, 80%, 85%, 90%, or 95% identity over a specified region, or, when not specified, over the entire sequence), when compared and aligned for maximum correspondence over a comparison window, or designated region as measured using one of the following sequence comparison algorithms or by manual alignment and visual inspection. The invention provides polypeptides that are substantially identical to the polypeptides exemplified herein (e.g., any of SEQ ID NO: 1, 2, 3, 4, 5, or 6). Optionally, the identity exists over a region that is at least about 50 nucleotides in length, or more preferably over a region that is 100 to 500 or 1000 or more nucleotides in length, or the entire length of the reference sequence. For sequence comparison, typically one sequence acts as a reference sequence, to which test sequences are compared. When using a sequence comparison algorithm, test and reference sequences are entered into a computer, subsequence coordinates are designated, if necessary, and sequence algorithm program parameters are designated. Default program parameters can be used, or alternative parameters can be designated. The sequence comparison algorithm then calculates the percent sequence identities for the test sequences relative to the reference sequence, based on the program parameters. A “comparison window”, as used herein, includes reference to a segment of any one of the number of contiguous positions selected from the group consisting of from 20 to 600, usually about 50 to about 200, more usually about 100 to about 150 in which a sequence may be compared to a reference sequence of the same number of contiguous positions after the two sequences are optimally aligned. Methods of alignment of sequences for comparison are well known in the art. Optimal alignment of sequences for comparison can be conducted, e.g., by the local homology algorithm of Smith and Waterman (1970) Adv. Appl. Math. 2:482c, by the homology alignment algorithm of Needleman and Wunsch (1970) J. Mol. Biol. 48:443, by the search for similarity method of Pearson and Lipman (1988) Proc. Nat'l. Acad. Sci. USA 85:2444, by computerized implementations of these algorithms (GAP, BESTFIT, FASTA, and TFASTA in the Wisconsin Genetics Software Package, Genetics Computer Group, 575 Science Dr., Madison, Wis.), or by manual alignment and visual inspection (see, e.g., Ausubel et al., Current Protocols in Molecular Biology (1995 supplement)). Two examples of algorithms that are suitable for determining percent sequence identity and sequence similarity are the BLAST and BLAST 2.0 algorithms, which are described in Altschul et al. (1977) Nuc. Acids Res. 25:3389-3402, and Altschul et al. (1990) J. Mol. Biol. 215:403-410, respectively. Software for performing BLAST analyses is publicly available through the National Center for Biotechnology Information. This algorithm involves first identifying high scoring sequence pairs (HSPs) by identifying short words of length W in the query sequence, which either match or satisfy some positive-valued threshold score T when aligned with a word of the same length in a database sequence. T is referred to as the neighborhood word score threshold (Altschul et al., supra). These initial neighborhood word hits act as seeds for initiating searches to find longer HSPs containing them. The word hits are extended in both directions along each sequence for as far as the cumulative alignment score can be increased. Cumulative scores are calculated using, for nucleotide sequences, the parameters M (reward score for a pair of matching residues; always >0) and N (penalty score for mismatching residues; always <0). For amino acid sequences, a scoring matrix is used to calculate the cumulative score. Extension of the word hits in each direction are halted when: the cumulative alignment score falls off by the quantity X from its maximum achieved value; the cumulative score goes to zero or below, due to the accumulation of one or more negative-scoring residue alignments; or the end of either sequence is reached. The BLAST algorithm parameters W, T, and X determine the sensitivity and speed of the alignment. The BLASTN program (for nucleotide sequences) uses as defaults a wordlength (W) of 11, an expectation (E) or 10, M=5, N=−4 and a comparison of both strands. For amino acid sequences, the BLASTP program uses as defaults a wordlength of 3, and expectation (E) of 10, and the BLOSUM62 scoring matrix (see Henikoff and Henikoff (1989) Proc. Natl. Acad. Sci. USA 89:10915) alignments (B) of 50, expectation (E) of 10, M=5, N=−4, and a comparison of both strands. The BLAST algorithm also performs a statistical analysis of the similarity between two sequences (see, e.g., Karlin and Altschul (1993) Proc. Natl. Acad. Sci. USA 90:5873-5787). One measure of similarity provided by the BLAST algorithm is the smallest sum probability (P(N)), which provides an indication of the probability by which a match between two nucleotide or amino acid sequences would occur by chance. For example, a nucleic acid is considered similar to a reference sequence if the smallest sum probability in a comparison of the test nucleic acid to the reference nucleic acid is less than about 0.2, more preferably less than about 0.01, and most preferably less than about 0.001. BRIEF SUMMARY OF THE INVENTION Methods of reducing fatty acid uptake in an animal are provided. In some embodiments, the method comprises administering an antagonist of integrin αvβ3 or αvβ5 to an animal in an amount sufficient to reduce fatty acid uptake in the animal, thereby reducing fatty acid uptake in the animal. In some embodiments, the animal is a human. In some embodiments, the antagonist is an antibody In some embodiments, the antibody specifically binds to αvβ3. In some embodiments, the antibody specifically binds to αvβ5 In some embodiments, the antibody is a humanized or chimeric antibody. In some embodiments, the antibody binds the same epitope as ALULA (the antibody produced by the hybridoma deposited under ATCC Deposit No. PTA-5817). In some embodiments, the animal is obese. In some embodiments, the animal has reduced insulin sensitivity. In some embodiments, the animal is insulin resistant. In some embodiments, the antagonist is administered intravenously, intraperitoneally, subcutaneously, intramuscularly, rectally, or orally. Also provides is a method of increasing fatty acid uptake and/or gastric motility in an animal. In some embodiments, the method comprises administering a polypeptide comprising (i) Milk Fat Globule Epidermal Growth Factor 8 (Mfge8), or (ii) an integrin-binding portion of Mfge8, to an animal in an amount sufficient to increase fatty acid uptake and/or to gastric motility in the animal. In some embodiments, the Mfge8 is human Mfge 8 (SEQ ID NO:1) or is at least 80% identical to (i) SEQ ID NO:1 or (ii) an integrin-binding portion of SEQ ID NO:1. In some embodiments, the animal is human. In some embodiments, the animal (e.g., human) is under two or one years or under six, five, four, three, two, or one months old. In some embodiments, the animal is a premature human infant. In some embodiments, the animal is diabetic or has cystic fibrosis. In some embodiments, the polypeptide is administered intravenously, intraperitoneally, subcutaneously, intramuscularly, rectally, or orally. In some embodiments, the polypeptide is administered as a component of a composition selected from the group consisting of a powder, a tablet, a capsule, a lozenge, a chewing gum, a food product, a supplemented beverage, or a medical food. In some embodiments, the food product is infant formula. Also provided is a composition comprising a polypeptide comprising (i) Milk Fat Globule Epidermal Growth Factor 8 (Mfge8), or (ii) an integrin-binding portion of Mfge8. In some embodiments, the Mfge8 is human Mfge 8 (SEQ ID NO:1) or is at least 80% identical to (i) SEQ ID NO:1 or (ii) an integrin-binding portion of SEQ ID NO:1. In some embodiments, the composition is selected from the group consisting of a powder, a tablet, a capsule, a lozenge, a chewing gum, a food product, a supplemented beverage, or a medical food. In some embodiments, the composition further comprises a bovine milk protein, a soy protein, betalactoglobulin, whey, soybean oil or starch. In some embodiments, said supplemented beverage is a member selected from the group consisting of an infant formula, follow-on formula, toddler's beverage, milk, fruit juice, and fruit-based drink. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1A-1I. Mfge8 mediates fatty acid uptake in adipocytes. (A) Fatty acid uptake in undifferentiated 3T3-L1 fibroblasts and differentiated 3T3-L1 adipocytes treated with the rMfge8 or RGE construct. N=4. (B) 3T3-L1 adipocyte triglyceride content after treatment with the rMfge8 or RGE construct (10 μg/ml). N=3. (C-F) Fatty acid uptake in Mfge8−/− primary adipocytes (C, N=7-9), differentiated primary Mfge8−/− adipocyte progenitors cells (D, N=3), and 3T3-L1 adipocytes (F, N=4) after incubation with mutated Mfge8 constructs (E). (G,H) Effect of integrin blocking antibodies on fatty acid uptake in Mfge8−/− adipocytes treated with rMfge8 (G, N=3-4) and in 3T3-L1 adipocytes (H, N=5). (I) Fatty acid uptake in β5−/− and β3−/− primary adipocytes with and without the addition of integrin blocking antibodies. N=4. *P<0.01, **P<0.001, ***P<0.0001. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. FIGS. 2A-2D. Mfge8 mediates fatty acid uptake in hepatocytes and cardiac myocytes. (A) Fatty acid uptake in primary Mfge8+/+ and Mfge8−/− hepatocytes, and Mfge8−/− hepatocytes treated with rMfge8 or RGE. N=6. (B) Fatty acid uptake in primary Mfge8+/+ and Mfge8−/− cardiac myocytes and Mfge8−/− cardiac myocytes treated with rMfge8 or RGE. N=6. (C) The effect of mutated Mfge8 constructs on fatty acid uptake by HepG2 cells. N=4. (D) The effect of integrin blocking antibodies (20 μg/mL) on fatty acid uptake in HepG2 cells treated with rMfge8. *P<0.01, **P<0.001, ***P<0.0001. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. FIGS. 3A-3K. Mfge8 mediates fatty acid uptake in the intestinal tract. (A) Triglyceride content of the small intestine in mice fasted for 8 hours. N=5. (B) Fatty acid uptake in primary Mfge8+/+ and Mfge8−/− enterocytes, and Mfge8−/− enterocytes treated with rMfge8 or RGE. N=8. (C) Serum triglycerides after oral gavage of Mfge8+/+ and Mfge8−/− mice with olive oil and Mfge8−/− mice with olive oil mixed with rMfge8 or RGE construct. N=3-5, *P<0.01, ***P<0.0001 when comparing Mfge8−/− with Mfge8+/+ mice, ̂̂P <0.01, ̂P <0.05 when comparing Mfge8−/− mice with Mfge8−/− treated with rMfge8, #P<0.01 when comparing Mfge8+/+ mice with Mfge8−/− treated with rMfge8. (D) Liver triglyceride levels 8 hours after olive oil gavage as described for FIG. 3C. N=3-5. (E) Serum free fatty acid levels in Mfge8+/+ and Mfge8−/− mice after olive oil gavage, N=4-5. (F) Effect of rMfge8 or RGE construct on serum triglycerides after olive oil gavage in Mfge8+/+ mice. N=4-5. (G) Fecal fatty acid levels in Mfge8+/+ and Mfge8−/− mice after gavage with BODIPY fatty acid analog. N=4-5. (H) Serum free fatty acid levels in Mfge8+/+ and Mfge8−/− mice after a 24 hour fast, N=4-5. (I-K) Effect of oral administration of integrin blocking antibodies prior to olive oil gavage on serum triglycerides levels (G, *P<0.01, **P<0.001, ***P <0.0001, when comparing αv antibody with control antibody, #P<0.01 when comparing 135 antibody with control antibody), and on small intestine (FIG. 3H) and liver triglyceride content (FIG. 3I) in Mfge8+/+ mice. N=4-5 for panels, I-K. #P<0.05, *P<0.01, **P <0.001, ***P<0.0001 where not previously specified. Data are expressed as mean±s.e.m. Each in vivo experiment was performed once. For in vitro experiments in panel B, each replicate represents an independent experiment. FIGS. 4A-4F. Mfge8 increases fatty acid uptake through an integrin-PI3K-AKT-dependent pathway. (A) Effect of rMfge8 (10 μg/mL) or insulin (10 μg/mL) with and without wortmannin (100 nM) on AKT phosphorylation. (B) Effect of wortmannin on fatty acid uptake in primary Mfge8+/+ and Mfge8−/− adipocytes and on Mfge8−/− adipocytes treated with rMfge8. N=7. *P<0.05, ***P<0.001. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. (C) Effect of mutated Mfge8 constructs on AKT and Rictor phosphorylation in 3T3-L1 adipocytes. (D) Effect of integrin blocking antibodies on AKT phosphorylation in 3T3-L1 adipocytes after treatment with rMfge8. (E) The effect of mutated Mfge8 constructs on phosphorylation of AKT and Rictor in HepG2 cells. (F) The effect of rMfge8 on AKT phosphorylation in the presence of integrin blocking antibodies in HepG2 cells. FIGS. 5A-5I. Mfge8 stimulates fatty acid uptake by inducing translocation of fatty acid transporters to the cell surface. (A-C) Plasma membrane and post membrane CD36 expression in primary Mfge8−/− and Mfge8+/+ adipocytes (A), hepatocytes (B), and enterocytes (C). Mfge8−/− cells were also incubated with rMfge8 or rMfge8 and wortmannin. (D-F) Effect of CD36 blocking antibody or control antibody on the ability of rMfge8 to increase fatty acid uptake in primary Mfge8−/− and Mfge8+/+ adipocytes (D), hepatocytes (E), and enterocytes (F), and on Mfge8+/+ adipocytes, hepatocytes, and enterocytes. N=3-4 for experiments with antibodies and 7-8 for experiments with and without rMfge8. (G) Effect of rMfge8 on fatty acid uptake in CD36+/+ and CD36−/− adipocytes. N=3 for experiments with CD36−/− cells and rMfge8 and 6 for experiments with Mfge8+/+ and Mfge8−/− cells, each replicate represents an independent experiment and data from different experiments were combined for statistical analysis. (H) Plasma membrane and post membrane expression of FATP1 in primary Mfge8−/− and Mfge8+/+ adipocytes, and Mfge8−/− adipocytes treated with rMfge8 or rMfge8 and wortmannin. (I) Effect of rMfge8 on fatty acid uptake in FATP1+/+ and FATP1−/− adipocytes. N=3, each replicate represents an independent experiment. *P<0.05, **P<0.001, ***P<0.0001. Data are expressed as mean±s.e.m. FIG. 6. Effect of integrin blocking antibodies on fatty acid uptake by Mfge8−/− adipocytes. Fatty acid uptake was measured in Mfge8−/− adipocytes in the presence of integrin blocking antibodies (20 μg/ml). N=4. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. FIGS. 7A-7C. Mfge8 does not regulate glucose homeostasis. (A) Serum glucose levels after gavage with a glucose bolus in Mfge8+/+ and Mfge8−/− mice and gavage of glucose mixed with rMfge8 in Mfge8−/− mice. N=4-5. (B) Effect of rMfge8 and insulin on glucose uptake in 3T3-L1 adipocytes. N=7-8, each replicate represents an independent experiment. (C) Effect of integrin blocking antibodies or control antibody on glucose adsorption by Mfge8+/+ mice after glucose gavage. N=4-5. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. *P<0.01. FIGS. 8A-8H demonstrates an effect of Mfge8 on gastric motility. (A,B) Smooth muscle strips from stomach of Mfge KO (knockout) mice have stronger contraction and thus can be rescued by addition of rMfge8. (C) Represents the same experiment as FIGS. 13A and B but using mice that only express Mfge8 in smooth muscle (dbl-means transgenic mice in the Mfge8 KO background that express Mfge8 in smooth muscle), have no Mfge8 (single-sgl), or are wild type. (D, E) Increased small intestinal transit time with rescue groups as above in Mfge8 KO mice. (F, G) More rapid gastric emptying in Mfge KO with same rescue as above. (H) Increased phosphorylation of MLCP meaning enhanced calcium sensitivity in Mfge8 KO mice. FIG. 9 shows that Mfge8 induces insulin resistance in 3T3-L1 adipocytes. Glucose uptake in 3T3-L1 adipocyte with and without 20 min treatment with recombinant Mfge8 or RGE (10 μg/ml) and insulin (1 μM) or both Mfge8 and insulin (n=8, P<0.05). Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. FIG. 10 illustrates that integrin receptor blockade enhances insulin sensitivity in primary adipocytes. Glucose uptake in Mfge8−/− and Mfge8+/+ primary adipocytes, with and without 20 min treatment with insulin (1 μM) and effect of pretreatment with integrin blocking antibodies (0.5 μg/g, IP, 15 min before insulin) on glucose uptake in Mfge8+/+ adipocytes. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment (n=8, P<0.05). Pretreatment with αv, b3 or b5 integrin blocking antibody prior to insulin injection resulted in significantly lower serum glucose levels after insulin injection as compared with insulin injection alone. FIG. 11 shows that Mfge8 induces acute insulin resistance in vivo. 8-week-old Mfge8−/− and Mfge8+/+ control mice were fasted for 4 hours, then blood glucose was measured 15 min after IP injection of insulin (1 U/kg), saline, RGE (50 μg/kg) or a combination of insulin (1.5 U/Kg) and rMfge8 or RGE construct (50 μg/kg). Data are expressed as mean±s.e.m. Each replicate represents an independent experiment (n=4, P<0.05). FIG. 12 shows that integrin blockade induces acute insulin sensitivity in vivo. 8-week-old Mfge8−/− and Mfge8+/+ control mice were fasted for 4 hours, then received blocking antibodies (0.5 μg per gram body weight)(αv (clone RMV-7) and βv (clone ALULA)) IP. 15 min prior insulin (1 U/kg) or saline, blood glucose was measured 15 min after IP injection of insulin (1 U/kg) or saline. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment (n=4, P<0.05). FIGS. 13A-13G. Enhanced, antral smooth muscle contraction in Mfge8−/− mice. (A-C) Force of antral smooth muscle ring contraction with and without the addition of rMfge8 or RGE construct (A) or after in vivo induction of transgenic Mfge8 expression in Mfge8−/−sm+ mice in response to MCh. (C) Force of antral contraction with and without epithelium (denuded). Mfge8−/− mice have enhanced gastric emptying and more rapid small intestine transit time (SIT). (D-E) Gastric emptying was measured by the proportion of phenol red remaining in the stomach 15 minutes after gavage. N=7-10. (F-G) Small intestinal transit times after gavage with Carmine dye with subsequent evaluation at 15 minutes of dye migration along the intestinal tract. N=5-10 in C and 3-5 in D. For FIGS. 13E and 13G, Mfge8−/−sm+ and single transgenic controls were placed on doxycycline for 2 weeks prior to the experiments to induce Mfge8 production in the smooth muscle. *P<0.05, **P<0.01, ***P<0.001. FIGS. 14A-14D. Inhibition of PI3K prevents exaggerated Mfge8−/− antral ring contraction. (A) Antral rings were treated for 15 min with PI3K inhibitor wortmannin (Wort.100 nm) followed by assessment of contractile force in response to MCh. N=3-4. *** P<0.0001. (B) Mfge8 reduces AKT phosphorylation. Western blot of antral tissue treated for 30 minutes with or without rMfge8. (C) Wortmannin prevents RhoA activation. Western blot of antrum treated for 30 minutes with wortmannin (100 ng/ml) or Mfge8 and then with MCh for 15 minutes prior to quantifying active RhoA using a GST pull-down. (D) Mfge8 modulates PTEN activity. PTEN activity assay measuring conversion of PIP3 to PIP2 in freshly isolated antrum with and without rMfge8 or RGE construct (10 μg/ml) n=3-5. *P<0.05, **P<0.01, ***P<0.001. DETAILED DESCRIPTION OF THE INVENTION I. Introduction Surprisingly, it has been discovered that Milk Fat Globule Epidermal Growth Factor 8 (Mfge8) stimulates fatty acid uptake. In view of this discovery, Mfge8 and analogs thereof will be useful in stimulating fatty uptake in animals (including but not limited to humans) in need thereof. Individuals who will benefit from stimulated fatty acid uptake include but are not limited to premature infants, individuals with excessive gastric emptying, diabetics and those with cystic fibrosis. Conversely, blocking Mfge8 binding to integrin receptors αvβ3 or αvβ5 inhibits fatty acid uptake. Blocking fatty acid uptake is useful, for example, in weight loss. Accordingly, administration of antagonists of αvβ3 or αvβ5 is useful for inducing weight loss in animals (e.g., humans), and is particularly useful for obese individuals. In addition, administration of antagonists of αvβ3 or αvβ5 can also enhance insulin sensitivity and can be used to treat individuals with reduced insulin sensitivity or insulin resistance. II. Increasing MFGE8 Signaling As noted above, it has been discovered that Mfge8 increases fatty acid uptake in various organs. Accordingly, in some embodiments, a polypeptide comprising Mfge8, or a substantially identical sequence thereof, or an integrin-binding fragment thereof is administered to an animal, thereby increasing fatty acid uptake in the animal. In some embodiments, a Mfge8 polypeptide as described herein is administered to an individual suffering from fat malabsorption. In some aspects, an individual in need of increased fatty acid uptake/adsorption is treated with a Mfge8 polypeptide as described herein. In some aspects, the individual has excess gastric emptying or otherwise has difficulty extracting nutrients from food. In some embodiments, the individual is a premature infant (e.g., born prior to completion of gestation) or is otherwise nursing. In some embodiments, the individual is less than 1 or 2 years old. In some embodiments, the individual is less than 6, 5, 4, 3, 2, or 1 month old. Additional examples of individuals (e.g., suffering from fat malabsorption) to which Mfge8 polypeptides can be administered include individuals having cystic fibrosis, individuals who undergo gastric bypass surgery, individuals with a decrease in the small intestine lymphatics, individuals having altered duodenal pH (Zollinger-Ellison syndrome), individuals having improper emulsification after certain types of gastrectomy, individuals having rapid transit dumping syndrome, rapid transit dumping syndrome having acute abnormality in the intestinal lining, e.g., because of infections, antibiotics or alcohol abuse, rapid transit dumping syndrome having small bowel syndrome, rapid transit dumping syndrome having presence of a chronic abnormal intestinal lining, e.g., as a result of conditions such as Crohn's disease or Celiac disease, rapid transit dumping syndrome having improper intestinal environments, e.g., because of bacterial overgrowth or the presence of parasites in the digestive system, rapid transit dumping syndrome having inadequate gastric mixing, e.g., due to factors such as a fistula in the gastric environment or after a gastrostomy, rapid transit dumping syndrome having impaired movement of the enzymes in the body, individuals having intestinal lymphangiectasia, individuals having Whipple's Disease, rapid transit dumping syndrome having irritable bowel syndrome and/or inflammatory bowel disease, individuals having cancer (e.g., advanced cancer such as Stage III or Stage IV). A Mfge8 polypeptide as described herein is administered to an individual having pancreatic and biliary dysfunction, including but not limited to individuals having chronic pancreatitis, obstruction in the pancreatic duct, pancreatic cancer, resection of the pancreas, Shwachmann-Diamond syndrome, Johnson-Blizzard syndrome, or Pearson syndrome. A variety of polypeptides can be used according to the methods for increasing fatty acid uptake. In some embodiments, full-length native (or variants thereof) Mfge8 protein amino acid sequences are used in the methods described herein. In some embodiments, the polypeptides comprise a fragment (not the full-length native sequence) of the Mfge8 sequence, or a variant thereof, that retains the ability to bind to integrin αvβ3 or αvβ5. Binding of Mfge8 to the integrin receptors is through the RGD motif and thus in some embodiments the Mfge8 fragment will comprise at least RGD, and in some aspects at least 2, 4, 5, 10, or 20 native amino acids of Mfge8 on either side of the RGD motif. Thus, in some embodiments, the polypeptides comprise at least a fragment (e.g., at least 20, 40, 50, 100, 150, 200, 250 contiguous amino acids) of the native Mfge8 protein. The inventors have found that the sequence set forth in SEQ ID NO:4, which is a mouse Mfge8 sequence lacking the second discoidan domain but including all other native sequence, remains active. The full length mouse Mfge8 sequence is depicted in SEQ ID NO:3. In some aspects the Mfge8 protein or fragment thereof will be derived from the animal species to be treated. Thus, for example, if a human is to be treated, a human Mfge8 (e.g., SEQ ID NO:1) or fragment thereof is administered. As another example, if cattle are to be treated, a bovine Mfge8 (e.g., SEQ ID NO:5) or a fragment or substantially identical polypeptide thereof is used. While not required, in some embodiments, the Mfge8 polypeptides will include non-native Mfge8 protein flanking sequences. For example, a full-length Mge8 or an RGD-containing fragment of Mfge8 can be fused to one or more heterologous amino acids to form a fusion protein. Fusion partner sequences can include, but are not limited to, amino acid tags, non-L (e.g., D-) amino acids or other amino acid mimetics to extend in vivo half-life and/or protease resistance, targeting sequences or other sequences. In some embodiments, the MFGE8 polypeptides will comprise at least one non-naturally encoded amino acid. Methods of making and introducing a non-naturally-occurring amino acid into a protein are known. See, e.g., U.S. Pat. Nos. 7,083,970; and 7,524,647. The general principles for the production of orthogonal translation systems that are suitable for making proteins that comprise one or more desired unnatural amino acid are known in the art, as are the general methods for producing orthogonal translation systems. For example, see International Publication Numbers WO 2002/086075, entitled “METHODS AND COMPOSITION FOR THE PRODUCTION OF ORTHOGONAL tRNA-AMINOACYL-tRNA SYNTHETASE PAIRS;” WO 2002/085923, entitled “IN VIVO INCORPORATION OF UNNATURAL AMINO ACIDS;” WO 2004/094593, entitled “EXPANDING THE EUKARYOTIC GENETIC CODE;” WO 2005/019415, filed Jul. 7, 2004; WO 2005/007870, filed Jul. 7, 2004; WO 2005/007624, filed Jul. 7, 2004; WO 2006/110182, filed Oct. 27, 2005, entitled “ORTHOGONAL TRANSLATION COMPONENTS FOR THE VIVO INCORPORATION OF UNNATURAL AMINO ACIDS” and WO 2007/103490, filed Mar. 7, 2007, entitled “SYSTEMS FOR THE EXPRESSION OF ORTHOGONAL TRANSLATION COMPONENTS IN EUBACTERIAL HOST CELLS.” Each of these applications is incorporated herein by reference in its entirety. For discussion of orthogonal translation systems that incorporate unnatural amino acids, and methods for their production and use, see also, Wang and Schultz, (2005) “Expanding the Genetic Code.” Angewandte Chemie Int Ed 44: 34-66; Xie and Schultz, (2005) “An Expanding Genetic Code.” Methods 36: 227-238; Xie and Schultz, (2005) “Adding Amino Acids to the Genetic Repertoire.” Curr Opinion in Chemical Biology 9: 548-554; and Wang, et al., (2006) “Expanding the Genetic Code.” Annu Rev Biophys Biomol Struct 35: 225-249; Deiters, et al, (2005) “In vivo incorporation of an alkyne into proteins in Escherichia coli.” Bioorganic & Medicinal Chemistry Letters 15:1521-1524; Chin, et al., (2002) “Addition of p-Azido-L-phenylalanine to the Genetic Code of Escherichia coli.” J Am Chem Soc 124: 9026-9027; and International Publication No. WO2006/034332, filed on Sep. 20, 2005, the contents of each of which are incorporated by reference in their entirety. Additional details are found in U.S. Pat. No. 7,045,337; No. 7,083,970; No. 7,238,510; No. 7,129,333; No. 7,262,040; No. 7,183,082; No. 7,199,222; and No. 7,217,809. A “non-naturally encoded amino acid” refers to an amino acid that is not one of the common amino acids or pyrolysine or selenocysteine. Other terms that may be used synonymously with the term “non-naturally encoded amino acid” are “non-natural amino acid,” “unnatural amino acid,” “non-naturally-occurring amino acid,” and variously hyphenated and non-hyphenated versions thereof. The term “non-naturally encoded amino acid” also includes, but is not limited to, amino acids that occur by modification (e.g. post-translational modifications) of a naturally encoded amino acid (including but not limited to, the 20 common amino acids or pyrrolysine and selenocysteine) but are not themselves naturally incorporated into a growing polypeptide chain by the translation complex. Examples of such non-naturally-occurring amino acids include, but are not limited to, N-acetylglucosaminyl-L-serine, N-acetylglucosaminyl-L-threonine, and O-phosphotyrosine. A non-naturally encoded amino acid is typically any structure having any substituent side chain other than one used in the twenty natural amino acids. Because the non-naturally encoded amino acids of the invention typically differ from the natural amino acids only in the structure of the side chain, the non-naturally encoded amino acids form amide bonds with other amino acids, including but not limited to, natural or non-naturally encoded, in the same manner in which they are formed in naturally occurring polypeptides. However, the non-naturally encoded amino acids have side chain groups that distinguish them from the natural amino acids. For example, R optionally comprises an alkyl-, aryl-, acyl-, keto-, azido-, hydroxyl-, hydrazine, cyano-, halo-, hydrazide, alkenyl, alkynl, ether, thiol, seleno-, sulfonyl-, borate, boronate, phospho, phosphono, phosphine, heterocyclic, enone, imine, aldehyde, ester, thioacid, hydroxylamine, amino group, or the like or any combination thereof. Other non-naturally occurring amino acids of interest that may be suitable for use in the present invention include, but are not limited to, amino acids comprising a photoactivatable cross-linker, spin-labeled amino acids, fluorescent amino acids, metal binding amino acids, metal-containing amino acids, radioactive amino acids, amino acids with novel functional groups, amino acids that covalently or noncovalently interact with other molecules, photocaged and/or photoisomerizable amino acids, amino acids comprising biotin or a biotin analogue, glycosylated amino acids such as a sugar substituted serine, other carbohydrate modified amino acids, keto-containing amino acids, amino acids comprising polyethylene glycol or polyether, heavy atom substituted amino acids, chemically cleavable and/or photocleavable amino acids, amino acids with an elongated side chains as compared to natural amino acids, including but not limited to, polyethers or long chain hydrocarbons, including but not limited to, greater than about 5 or greater than about 10 carbons, carbon-linked sugar-containing amino acids, redox-active amino acids, amino thioacid containing amino acids, and amino acids comprising one or more toxic moiety. Exemplary non-naturally encoded amino acids that may be suitable for use in the present invention and that are useful for reactions with water soluble polymers include, but are not limited to, those with carbonyl, aminooxy, hydrazine, hydrazide, semicarbazide, azide and alkyne reactive groups. In some embodiments, non-naturally encoded amino acids comprise a saccharide moiety. Examples of such amino acids include N-acetyl-L-glucosaminyl-L-serine, N-acetyl-L-galactosaminyl-L-serine, N-acetyl-L-glucosaminyl-L-threonine, N-acetyl-L-glucosaminyl-L-asparagine and O-mannosaminyl-L-serine. Examples of such amino acids also include examples where the naturally-occurring N- or O-linkage between the amino acid and the saccharide is replaced by a covalent linkage not commonly found in nature—including but not limited to, an alkene, an oxime, a thioether, an amide and the like. Examples of such amino acids also include saccharides that are not commonly found in naturally-occurring proteins such as 2-deoxy-glucose, 2-deoxygalactose and the like. Another type of modification that can optionally be introduced into the MFGE8 polypeptide (e.g., within the polypeptide chain or at either the N- or C-terminal), e.g., to extend in vivo half-life, is PEGylation or incorporation of long-chain polyethylene glycol polymers (PEG). Introduction of PEG or long chain polymers of PEG increases the effective molecular weight of the present polypeptides, for example, to prevent rapid filtration into the urine. In some embodiments, a Lysine residue in the MFGE8 sequence is conjugated to PEG directly or through a linker. Such linker can be, for example, a Glu residue or an acyl residue containing a thiol functional group for linkage to the appropriately modified PEG chain. An alternative method for introducing a PEG chain is to first introduce a Cys residue at the C-terminus or at solvent exposed residues such as replacements for Arg or Lys residues. This Cys residue is then site-specifically attached to a PEG chain containing, for example, a maleimide function. Methods for incorporating PEG or long chain polymers of PEG are well known in the art (described, for example, in Veronese, F. M., et al., Drug Disc. Today 10: 1451-8 (2005); Greenwald, R. B., et al., Adv. Drug Deliv. Rev. 55: 217-50 (2003); Roberts, M. J., et al., Adv. Drug Deliv. Rev., 54: 459-76 (2002)), the contents of which is incorporated herein by reference. Other methods of polymer conjugations known in the art can also be used in the present invention. In some embodiments, poly(2-methacryloyloxyethyl phosphorylcholine) (PMPC) is introduced as a polymer conjugate with the MFGE8 proteins of the invention (see, e.g., WO2008/098930; Lewis, et al., Bioconjug Chem., 19: 2144-55 (2008)). In some embodiments, a phosphorylcholine-containing polymer conjugate with the MFGE8 proteins can be used in the present invention. Other biocompatible polymer conjugates can also be utilized. A more recently reported alternative approach for incorporating PEG or PEG polymers through incorporation of non-natural amino acids (as described above) can be performed with the present Mfge8 polypeptides. This approach utilizes an evolved tRNA/tRNA synthetase pair and is coded in the expression plasmid by the amber suppressor codon (Deiters, A, et al. (2004). Bio-org. Med. Chem. Lett. 14, 5743-5). For example, p-azidophenylalanine can be incorporated into the present polypeptides and then reacted with a PEG polymer having an acetylene moiety in the presence of a reducing agent and copper ions to facilitate an organic reaction known as “Huisgen [3+2]cycloaddition.” In certain embodiments, specific mutations of the MFGE8 proteins are contemplated so as to alter the glycosylation of the polypeptide. Such mutations may be selected so as to introduce or eliminate one or more glycosylation sites, including but not limited to, O-linked or N-linked glycosylation sites. In certain embodiments, the MFGE8 proteins have glycosylation sites and patterns unaltered relative to the naturally-occurring MFGE8 proteins. In certain embodiments, a variant of MFGE8 proteins includes a glycosylation variant wherein the number and/or type of glycosylation sites have been altered relative to the naturally-occurring MFGE8 proteins. In certain embodiments, a variant of a polypeptide comprises a greater or a lesser number of N-linked glycosylation sites relative to a native polypeptide. An N-linked glycosylation site is characterized by the sequence: Asn-X-Ser or Asn-X-Thr, wherein the amino acid residue designated as X may be any amino acid residue except proline. The substitution of amino acid residues to create this sequence provides a potential new site for the addition of an N-linked carbohydrate chain. Alternatively, substitutions which eliminate this sequence will remove an existing N-linked carbohydrate chain. In certain embodiments, a rearrangement of N-linked carbohydrate chains is provided, wherein one or more N-linked glycosylation sites (typically those that are naturally occurring) are eliminated and one or more new N-linked sites are created. Exemplary MFGE8 proteins variants include cysteine variants wherein one or more cysteine residues are deleted from or substituted for another amino acid (e.g., serine) relative to the amino acid sequence of the naturally-occurring MFGE8 proteins. In certain embodiments, cysteine variants may be useful when MFGE8 proteins must be refolded into a biologically active conformation such as after the isolation of insoluble inclusion bodies. In certain embodiments, cysteine variants have fewer cysteine residues than the native polypeptide. In certain embodiments, cysteine variants have an even number of cysteine residues to minimize interactions resulting from unpaired cysteines. In some embodiments, functional variants or modified forms of the MFGE8 proteins include fusion proteins of an MFGE8 protein of the invention and one or more fusion domains. Well known examples of fusion domains include, but are not limited to, polyhistidine, Glu-Glu, glutathione S transferase (GST), thioredoxin, protein A, protein G, an immunoglobulin heavy chain constant region (Fc), maltose binding protein (MBP), or human serum albumin. A fusion domain may be selected so as to confer a desired property. For example, some fusion domains are particularly useful for isolation of the fusion proteins by affinity chromatography. For the purpose of affinity purification, relevant matrices for affinity chromatography, such as glutathione-, amylase-, and nickel- or cobalt-conjugated resins are used. Many of such matrices are available in “kit” form, such as the Pharmacia GST purification system and the QLAexpress™ system (Qiagen) useful with fusion partners (e.g., His6; SEQ ID NO:7). As another example, a fusion domain may be selected so as to facilitate detection of the MFGE8 proteins. Examples of such detection domains include the various fluorescent proteins (e.g., GFP) as well as “epitope tags,” which are usually short peptide sequences for which a specific antibody is available. Well known epitope tags for which specific monoclonal antibodies are readily available include FLAG, influenza virus haemagglutinin (HA), and c-myc tags. In some cases, the fusion domains have a protease cleavage site, such as for Factor Xa or Thrombin, which allows the relevant protease to partially digest the fusion proteins and thereby liberate the recombinant proteins therefrom. The liberated proteins can then be isolated from the fusion domain by subsequent chromatographic separation. In certain embodiments, an MFGE8 protein is fused with a domain that stabilizes the MFGE8 protein in vivo (a “stabilizer” domain). By “stabilizing” is meant anything that increases serum half life, regardless of whether this is because of decreased destruction, decreased clearance by the kidney, or other pharmacokinetic effect. Fusions with the Fc portion of an immunoglobulin are known to confer desirable pharmacokinetic properties on a wide range of proteins. Likewise, fusions to human serum albumin can confer desirable properties. Other types of fusion domains that may be selected include multimerizing (e.g., dimerizing, tetramerizing) domains and functional domains (that confer an additional biological function, as desired). It is contemplated that the polypeptides, compositions, and methods of the present invention may be used to treat a mammal. As used herein a “mammal” to any mammal classified as a mammal, including humans, domestic and farm animals, and zoo, sports or pet animals, such as cattle (e.g. cows), horses, dogs, sheep, pigs, rabbits, goats, cats, etc. All embodiments described herein not specifically referring to another species should be understood to specifically apply to humans as well as more generally to mammals. The dose of a compound of the present invention for treating the above-mentioned diseases or disorders varies depending upon the manner of administration, the age and the body weight of the subject, and the condition of the subject to be treated, and ultimately will be decided by the attending physician or veterinarian. Such an amount of the compound as determined by the attending physician or veterinarian is referred to herein as an “effective amount.” Formulations suitable for administration include exipients, including but not limited to, aqueous and non-aqueous solutions, isotonic sterile solutions, which can contain antioxidants, buffers, bacteriostats, and solutes that render the formulation isotonic, and aqueous and non-aqueous sterile suspensions that can include suspending agents, solubilizers, thickening agents, stabilizers, and preservatives. In some embodiments, the Mfge8 polypeptides are formulated in micelles or liposomes. The dose administered to a patient, in the context of the present invention should be sufficient to effect a beneficial response in the subject over time. The dose will be determined by the efficacy of the particular protein employed and the condition of the subject, as well as the body weight or surface area of the area to be treated. The size of the dose also will be determined by the existence, nature, and extent of any adverse side-effects that accompany the administration of a particular protein or vector in a particular subject. Administration can be accomplished via single or divided doses. Formulations suitable for administration include aqueous and non-aqueous solutions, isotonic sterile solutions, which can contain antioxidants, buffers, bacteriostats, and solutes that render the formulation isotonic, and aqueous and non-aqueous sterile suspensions that can include suspending agents, solubilizers, thickening agents, stabilizers, and preservatives. In the practice of this invention, compositions can be administered, for example, orally, rectally, nasally, topically, intravenously, intraperitoneally, or intrathecally. The formulations of polypeptides can be presented in unit-dose or multi-dose sealed containers, such as ampoules and vials. Solutions and suspensions can be prepared from sterile powders, granules, and tablets of the kind previously described. The modulators can also be administered as part a of prepared food or drug. In general, any food or beverage that can be consumed by human infants or adults or animals may be used to make formulations containing the Mfge8 polypeptides described herein. Exemplary foods include those with a semi-liquid consistency to allow easy and uniform dispersal of the compositions. However, other consistencies (e.g., powders, liquids, etc.) can also be used without limitation. Accordingly, such food items include, without limitation, dairy-based products such as cheese, cottage cheese, yogurt, and ice cream. Processed fruits and vegetables, including those targeted for infants/toddlers, such as apple sauce or strained vegetables (e.g., peas and carrots, etc.), are also suitable for use in combination with the prebiotic and synbiotic compositions of the present invention. Both infant cereals such as rice- or oat-based cereals and adult cereals such as Musilix are also suitable for use in combination with the oligosaccharides of the present invention. In addition to foods targeted for human consumption, animal feeds may also be supplemented with the Mfge8 polypeptides as described herein. Alternatively, the Mfge8 polypeptide compositions can be used to supplement a beverage. Examples of such beverages include, without limitation, infant formula, follow-on formula, toddler's beverage, milk, fermented milk, fruit juice, fruit-based drinks, and sports drinks Many infant and toddler formulas are known in the art and are commercially available, including, for example, Carnation Good Start (Nestle Nutrition Division; Glendale, Calif.) and Nutrish A/B produced by Mayfield Dairy Farms (Athens, Tenn.). Other examples of infant or baby formula include those disclosed in U.S. Pat. No. 5,902,617. Other beneficial formulations of the compositions of the present invention include the supplementation of animal milks, such as cow's milk. Alternatively, the prebiotic and probiotic compositions of the present invention can be formulated into pills or tablets or encapsulated in capsules, such as gelatin capsules. Tablet forms can optionally include, for example, one or more of lactose, sucrose, mannitol, sorbitol, calcium phosphates, corn starch, potato starch, microcrystalline cellulose, gelatin, colloidal silicon dioxide, talc, magnesium stearate, stearic acid, and other excipients, colorants, fillers, binders, diluents, buffering agents, moistening agents, preservatives, flavoring agents, dyes, disintegrating agents, and pharmaceutically compatible carriers. Lozenge or candy forms can comprise the compositions in a flavor, e.g., sucrose, as well as pastilles comprising the compositions in an inert base, such as gelatin and glycerin or sucrose and acacia emulsions, gels, and the like containing, in addition to the active ingredient, carriers known in the art. The Mfge8 polypeptide formulations may also contain conventional food supplement fillers and extenders such as, for example, rice flour. In some embodiments, the Mfge8 polypeptide composition will further comprise a non-human protein, non-human lipid, non-human carbohydrate, or other non-human component. For example, in some embodiments, the compositions of the invention comprise a bovine (or other non-human) milk protein, a soy protein, a rice protein, betalactoglobulin, whey, soybean oil or starch. In some embodiments, the prebiotic or synbiotic composition will further comprise a non-bovine protein, non-bovine lipid, non-bovine carbohydrate, or other non-bovine component. III. Inhibiting MFGE8 Signaling Mfge8 is a ligand for both αvβ3 and αvβ5 integrins. As demonstrated below in Examples 1 and 2, administration of an antagonist antibody that binds the αv integrin subunit completely inhibited fat uptake in an animal and administration of an antibody specific for αvβ3 or administration of an antibody specific for αvβ5 partially decreases fat absorption. Accordingly, antagonizing the αvβ3 and/or αvβ5 integrin receptors is effective to reduce fat uptake and optionally for weight reduction in an individual. Co-administration of antagonists of αvβ3 and/or αvβ5 integrin receptors and insulin can be used to treat individuals with reduced insulin sensitivity. In particular, pretreatment with antagonists of αvβ3 and/or αvβ5 integrin receptors prior to insulin injection can significantly lower serum glucose levels, as compared to injection of insulin alone. Exemplary antagonists can be, for example, antagonist antibodies, e.g., antagonists antibodies that specifically bind to αvβ3 and/or αvβ5 integrin receptors. An exemplary αvβ5 antibody is “ALULA” (the antibody produced by the hybridoma deposited under ATCC Deposit No. PTA-5817). Alternatively, the αvβ3 and/or αvβ5 integrin receptor antagonists can be small molecules. See, e.g., PCT WO 2003/059872. In some embodiments, the antagonists are RGD-containing peptides or cyclic peptides. Examples of such peptides include, but are not limited to those described in Belvisi, L., et al., Mol Cancer Ther 4(11): (November 2005). Some antagonists are reviewed in Hsu, A R, et al., Recent Pat Anticancer Drug Discov. 2(2):143-58 (2007). In some embodiments, the αvβ3 and/or αvβ5 integrin receptor antagonists are administered to an individual that is overweight overweight (e.g., body mass index (BMI) greater or equal to 25 kg/m2) or is obese. In some embodiments, the αvβ3 and/or αvβ5 integrin receptor antagonists are administered to an individual with high (i.e., above average) level of fatty acids and triglycerides, and an individual with hyperlipidemia or dyslipidemia (e.g., an individual having cirrhosis or liver damage, hypothyroidism (underactive thyroid), nephrotic syndrome, a kidney disorder, or diabetes. In some embodiments, the αvβ3 and/or αvβ5 integrin receptor antagonists are administered to a pregnant individual or an individual with elevated levels of female hormones. In some embodiments, the αvβ3 and/or αvβ5 integrin receptor antagonists are administered to an individual with an elevated risk cardiovascular disease or stroke. In some embodiments, the αvβ3 and/or αvβ5 integrin receptor antagonists are administered to an individual with reduced insulin sensitivity or insulin resistance. The αvβ3 and/or αvβ5 integrin receptor antagonists can be formulated and administered as described above with regard to the Mfge8 polypeptides. For example, formulations suitable for administration include aqueous and non-aqueous solutions, isotonic sterile solutions, which can contain antioxidants, buffers, bacteriostats, and solutes that render the formulation isotonic, and aqueous and non-aqueous sterile suspensions that can include suspending agents, solubilizers, thickening agents, stabilizers, and preservatives. In the practice of this invention, compositions can be administered, for example, orally, rectally, nasally, topically, intravenously, intraperitoneally, or intrathecally. The formulations of polypeptides can be presented in unit-dose or multi-dose sealed containers, such as ampoules and vials. Solutions and suspensions can be prepared from sterile powders, granules, and tablets of the kind previously described. The modulators can also be administered as part of a prepared food or drug. EXAMPLES Example 1 Mfge8 and Regulating Fat Storage and Lipid Homeostasis Fatty acids are integral mediators of energy storage, membrane formation, and cell signaling. The pathways that orchestrate uptake of fatty acids remain incompletely understood. Expression of the integrin ligand Mfge8 is increased in human obesity and in mice on a high-fat diet (HFD). The role of Mfge8 in obesity is unknown. We show here that Mfge8 promotes the development of obesity by facilitating cellular uptake of fatty acids. Mfge8 deficient (Mfge8−/−) mice absorb less dietary triglycerides and are protected from weight gain, steatohepatitis and obesity-associated insulin resistance on a HFD. Mfge8−/− cells have impaired fatty acid uptake in vitro. Mfge8 coordinates fatty acid uptake through αvβ3 and αvβ5 integrin-dependent phosphorylation of AKT by PI3 kinase and mTOR complex 2 leading to translocation of CD36 and FATP1 from cytosolic stores to the cell surface. Collectively, our results implicate a central role for Mfge8 in regulating fat storage and lipid homeostasis. Results Mfge8 Increases 3T3-L1 Adipocyte Fatty Acid Uptake and Triglyceride Storage To evaluate the effect of Mfge8 on fatty acid uptake, we quantified the effect of recombinant Mfge8 (rMfge8) on uptake of a BODIPY fatty acid analog (Liao, J. et al., J Lipid Res 46, 597-602 (2005)) by 3T3-L1 adipocytes. rMfge8 significantly increased fatty acid uptake in a dose-dependent fashion (FIG. 1A), while a recombinant construct with a point mutation changing the integrin-binding RGD sequence of Mfge8 to RGE (RGE) had no effect (FIG. 1A). 3T3-L1 cells treated with rMfge8, but not RGE, had significantly greater triglyceride content 2, 4, 6, and 8 days after treatment (FIG. 1B). These data indicate that Mfge8 increases fatty acid uptake and triglyceride stores in 3T3-L1 adipocytes through an integrin-dependent pathway. Mfge8−/− Adipocytes have Impaired Fatty Acid Uptake that is Rescued with rMfge8. We next evaluated whether fatty acid uptake was impaired in adipocytes from Mfge8−/− mice. Mfge8−/− primary adipocytes isolated from epididymal white adipose tissue (eWAT) and differentiated adipocyte progenitors isolated from subcutaneous white adipose tissue had significantly impaired fatty acid uptake (FIGS. 1C,D). rMfge8 rescued the decrease in fatty acid uptake in Mfge8−/− adipocytes and significantly increased WT fatty acid uptake (FIGS. 1C,D). In addition to an intact integrin-binding motif, the effect of recombinant protein required at least one of the discoidin domains of Mfge8 (FIGS. 1C,E,F). Treatment of 323-L1 adipocytes with cycloRGD did not induce an increase in AKT phosphorylation or fatty acid uptake. The Effect of Mfge8 on Fatty Acid Uptake is Mediated Through the αvβ5 and αvβ3 Integrins. Mfge8 is a ligand for the αvβ3 and αvβ5 integrins (Hanayama, R. et al., Nature 417, 182-187 (2002)). To determine whether Mfge8 mediated fatty acid uptake through these integrins, we evaluated the effects of integrin-blocking antibodies on the ability of rMfge8 to rescue impaired fatty acid uptake in Mfge8−/− adipocytes (FIG. 1G) and increase fatty acid uptake in 3T3-L1 adipocytes (FIG. 1H). Blocking antibody to the αv integrin subunit or both the β3 and β5 subunits completely inhibited and blocking antibodies to the β5 or the β3 integrin subunits partially inhibited the increase in fatty acid uptake induced by rMfge8 (FIGS. 1G,H). During 3T3-L1 differentiation from fibroblasts into adipocytes, Mfge8 expression increased, while expression of αv, β3 and β5 integrin subunits was stably persistent. β5−/− and β3−/− adipocytes had impaired fatty acid uptake which was further reduced with the addition of blocking antibody to the β3 integrin subunit in β5−/− adipocytes and vice versa. These data indicate that the αvβ3 and αvβ5 integrins mediate the effect of Mfge8 on fatty acid uptake. Mfge8 Regulates Hepatic and Cardiac Fatty Acid Uptake To determine whether the effect of Mfge8 on fatty acid uptake could be generalized to other tissues, we evaluated fatty acid uptake in hepatocytes and cardiac myocytes. Mfge8−/− hepatocytes and cardiac myocytes had impaired fatty acid uptake that was rescued with rMfge8 (FIGS. 2A,B). rMfge8 also increased fatty acid uptake in HepG2 cells, a human hepatocellular carcinoma cell line, in an integrin binding and discoidin domain dependent manner (FIGS. 2C,D). Mfge8 Mediates Fatty Acid Uptake and Absorption of Dietary Triglycerides in the Gastrointestinal Tract. The high expression of Mfge8 in breast milk (Newburg, D. S. et al., Lancet 351, 1160-1164 (1998)) led us to investigate a role for Mfge8 in intestinal fat absorption. We found significantly reduced small intestinal triglyceride content in Mfge8−/− mice (FIG. 3A) and reduced in vitro fatty acid uptake by Mfge8−/− primary enterocytes (FIG. 3B). Mfge8−/− mice had significantly lower serum triglyceride levels after olive oil gavage. Adding rMfge8, but not RGE, to olive oil significantly raised serum triglyceride levels (FIG. 3C). Liver triglyceride levels after gavage were significantly lower in Mfge8−/− mice and increased significantly with rMfge8 treatment (FIG. 3D). Serum fatty acid levels after olive oil gavage were also lower in Mfge8−/− mice (FIG. 3E). rMfge8 significantly increased WT serum triglyceride levels after olive oil gavage (FIG. 3F). There was no difference in serum glucose levels after glucose gavage when comparing Mfge8−/− and WT mice or in glucose uptake by 3T3-L1 adipocytes treated with rMfge8. To prove that Mfge8 deficiency led to fatty acid malabsorption, we administered a gavage of BODIPY fatty acid analog and measured fecal BODIPY content. Mfge8−/− mice had significantly greater fecal BODIPY levels (FIG. 3G). To separate the effects of impaired enteral absorption of fatty acids from impaired peripheral uptake of fatty acids on serum fatty acid levels, we measured serum free fatty acids after fasting mice for 24 hours. Mfge8−/− mice had significantly higher serum free fatty acids (FIG. 3H) indicating a defect in peripheral uptake of fatty acids after starvation-induced lipolysis. We next evaluated the ability of enteral integrin blockade to prevent fat absorption in WT mice. The administration of αv-blocking or β5-blocking antibody by gavage 30 minutes prior to receiving an olive oil bolus significantly reduced serum triglyceride levels, enterocyte triglyceride content, and hepatic triglyceride content (FIGS. 3I-K) while having no effect on glucose absorption (FIG. 7C). Mfge8 Stimulates Fatty Acid Uptake Through a PI3 Kinase-mTORC2-AKT-Dependent Pathway. PI3 kinase and AKT are integral parts of regulatory pathways in metabolism (Manning, B. D. & Cantley, L. C., Cell 129, 1261-1274 (2007); Li, X. et al., Nature 447, 1012-1016 (2007)). We therefore investigated whether the Mfge8-induced increase in fatty acid uptake was mediated through an AKT/PI3K axis. rMfge8 induced phosphorylation of AKT at serine 473 (s473) in 3T3-L1 cells and this effect was completely blocked by the PI3 kinase inhibitor wortmannin (FIG. 4A). Wortmannin also inhibited the ability of rMfge8 to increase fatty acid uptake in Mfge8−/− adipocytes treated with rMfge8 (FIG. 4B). AKT phosphorylation was dependent on an intact integrin binding motif and the presence of at least one discoidin domain (FIG. 4C) and was inhibited by blocking antibodies to the βv, β3, and β5 integrin subunits (FIG. 4D). mTOR Complex 2 (mTORC2) is the kinase complex primarily responsible for phosphorylation of AKT at s473 (Sarbassov, D. D. et al., Science 307, 1098-1101 (2005)). To determine whether Mfge8-induced AKT phosphorylation was mediated through mTORC2, we evaluated phosphorylation of rapamycin-insensitive companion of mTOR (Rictor) at threonine 1135. Rictor was phosphorylated by Mfge8 and phosphorylation required an intact integrin binding motif and at least one discoidin domain (FIG. 4C). Mfge8 also induced phosphorylation of AKT and Rictor in HepG2 cells by an integrin-dependent pathway (FIGS. 4E,F). Mfge8 Stimulates Fatty Acid Uptake by Inducing Translocation of CD36 and FATP1 to the Cell Surface. CD36 is a key mediator of fatty acid uptake (Ibrahimi, A. et al., Proc Natl Acad Sci USA 93, 2646-2651 (1996)) that is regulated by translocation from cytosolic stores to the cell surface (Glatz, J. F. et al., Physiol Rev 90, 367-417 (2010)). We therefore evaluated whether Mfge8 induced translocation of CD36 to the cell surface. In primary Mfge8−/− adipocytes, hepatocytes, and enterocytes there was a marked reduction in membrane CD36 (FIGS. 5A-C). The addition of rMfge8 increased membrane expression of CD36 in Mfge8−/− cells to WT levels, and this effect was completely inhibited by wortmannin. Incubation with a CD36 blocking antibody prevented rMfge8 from increasing fatty acid uptake in Mfge8−/− adipocytes, hepatocytes, and enterocytes (FIGS. 5D-F). Unlike WT adipocytes, rMfge8 did not significantly increase fatty acid uptake in CD36−/− adipocytes. FATP1 is a member of the FATP family and plays a key role in fatty acid transport in adipocytes. Like CD36, FATP1 is translocated from cytosolic pool to the cell surface for fatty acid transport (Stahl, A. et al., Developmental cell 2, 477-488 (2002)). Membrane expression of FATP1 was reduced in Mfge8−/− adipocytes, expression was increased by rMfge8, and this effect was inhibited by wortmannin (FIG. 5H). The addition of rMfge8 to FATP1−/− adipocytes did not significantly increase fatty acid uptake (FIG. 5I). Taken together, these data indicate that Mfge8 regulates fatty acid uptake by inducing translocation of the machinery of fatty acid uptake to the cell surface. Mfge8−/− Mice are Protected from Weight Gain on a HFD Due to Impaired Fat Absorption. To determine whether Mfge8-mediated fatty acid uptake contributes to the development of obesity in vivo, we evaluated weight gain in Mfge8−/− mice placed on a HFD. Male and female Mfge8−/− mice gained less weight as compared with controls over a 12 week period on a HFD. The eWAT of 20-week-old Mfge8−/− mice on a HFD weighed significantly less than control eWAT. There was a marked induction of Mfge8 protein in eWAT of WT mice on HFD. 20-week-old Mfge8−/− mice on a HFD or CD had smaller adipocytes and reduced hepatic triglyceride content (CD). The hearts of Mfge8−/− mice also had significantly reduced triglyceride content. To determine the body composition of Mfge8−/− mice on a HFD, we examined lean and fat mass using DEXA scanning 20-week old Mfge8−/− mice on HFD and 10- and 20-week old, but not 5-week-old, Mfge8−/− mice on a CD had significantly less total body fat and percent body fat. Since obesity is associated with insulin resistance, we performed insulin tolerance tests on Mfge8−/− mice. 20-week old Mfge8−/− mice on a HFD had increased insulin sensitivity compared to WT mice. 10-, but not 5-, week old Mfge8−/− mice on a CD had enhanced insulin sensitivity. To evaluate whether the decrease in body fat was secondary to impaired absorption of dietary fats, we measured stool triglyceride and energy content. Mfge8−/− mice on HFD had significantly higher stool triglyceride levels and caloric content as measured by bomb calorimetry. Mfge8−/− Mice are Protected from Obesity-Induced Adipose Tissue Inflammation Since obesity is associated with chronic inflammation (Weisberg, S. P. et al., J Clin Invest 112, 1796-1808 (2003)) and Mfge8 suppresses inflammation by multiple mechanisms (Kudo, M. et al., Proc Natl Acad Sci USA 110, 660-665 (2013)), we evaluated eWAT inflammation in Mfge8−/− mice on a HFD. There was a marked reduction in eWAT infiltrating macrophages by immunohistochemistry as well as a reduction in multiple immune populations as evaluated by flow cytometry in Mfge8−/− mice. Since Mfge8−/− mice develop age-dependent autoimmune disease (that is apparent at 40 weeks of age) (Hanayama, R. et al., Science 304, 1147-1150 (2004)), we evaluated whether 20-week old Mfge8−/− mice on a HFD had evidence of chronic immune activation that may have contributed to the decrease in body fat. We found no difference in the number or percent of activated splenic lymphocytes or total number of cells in the spleens of 20-week old Mfge8−/− mice on a HFD. Mfge8 Deficiency does not Alter Energy Expenditure We next examined whether differences in energy expenditure could account for the differences in body fat in Mfge8−/− mice. After 10 days on HFD, we placed 12-week-old Mfge8−/− and WT mice in clams metabolic cages for a period of 4 days. We found no difference in total oxygen consumption, oxygen consumption corrected for lean body mass, food intake, or ambulation when comparing Mfge8−/− and control mice. Finally, there was a modest increase in the respiratory exchange ratio in Mfge8−/− mice without changes in eWAT PGC1a expression. Collectively, these data indicate that the decrease in body fat in Mfge8−/− mice is not caused by exaggerated inflammation, an increase in energy expenditure, or an increase in fatty acid oxidation. Discussion The work presented here identifies a critical role for Mfge8 in regulating obesity through modulation of cellular uptake and storage of fatty acids. In the gastrointestinal tract, Mfge8 coordinates orderly absorption of dietary fats. Mfge8 deficiency leads to fat malabsorption, a reduction in total body fat, and protection from diet induced obesity (DIO). Importantly, Mfge8 also increases fatty acid uptake by adipocytes, cardiac myocytes and hepatocytes. While the in vivo sequelae of impaired fatty acid uptake by peripheral organs in Mfge8−/− mice is obscured by the malabsorption phenotype, the data suggests that under normal conditions (wild type mice and humans), Mfge8 is physiologically important for fat uptake in these tissues. This conclusion is supported by the following observations. Serum fatty acid levels are lower in Mfge8−/− mice after an olive oil bolus. However, when mice are fasted for 24 hours, serum fatty acid levels are significantly higher in Mfge8−/− mice consistent with impaired peripheral uptake of fatty acids released after catecholamine-induced lipolysis. There is a near 100-fold increase in adipose tissue Mfge8 expression when mice are placed on a HFD suggesting, as further discussed below, a key role for Mfge8 in persistent expansion of adipose tissue with progressive weight gain. Similarly, expression of Mfge8 and both the αv and β5 integrin subunits are significantly increased in the adipose tissue of obese humans (Henegar, C. et al., Genome Biol 9, R14 (2008)). Mfge8 induces translocation of FATP1 to the cell surface, a fatty acid transport protein that is lacking in the intestine, but present in adipocytes and cardiac myocytes. Fatty acid transporter translocation is a key regulatory step by which cellular uptake of fatty acids can be acutely modified in response to hormonal and metabolic cues (Bonen, A. et al., J Biol Chem 275, 14501-14508 (2000)). Insulin and muscle contraction increase fatty acid uptake in skeletal and cardiac muscle through this mechanism (Jain, S. S. et al., FEBS Lett 583, 2294-2300 (2009); Glatz, J. F. et al., Physiol Rev 90, 367-417 (2010)). The identification of additional regulatory pathways that modulate this process has been elusive. While both insulin and Mfge8 induce translocation of fatty acid transporters through PI3 kinase-dependent phosphorylation of AKT (Stahl, A. et al., Developmental cell 2, 477-488 (2002); Chabowski, A. et al., Am J Physiol Endocrinol Metab 287, E781-789 (2004)), there are some key differences between their roles in fatty acid uptake. In the gastrointestinal tract, Mfge8 mediates absorption of dietary triglycerides while insulin regulates nutrient deposition in tissues after absorption from the intestine. Another distinction is apparent in obese adipose tissue. While insulin promotes lipogenesis by inducing de novo triglyceride synthesis (Wakil, S. J. et al., Annu Rev Biochem 52, 537-579 (1983)), increasing fatty acid uptake (Stahl, A. et al., Developmental cell 2, 477-488 (2002)), and inhibiting lipolysis (Jensen, M. D. et al., Diabetes 38, 1595-1601 (1989)), obesity is associated with adipocyte insulin resistance (Smith, U., Int J Obes Relat Metab Disord 26, 897-904 (2002)). Adipocytes from diabetic patients have impaired downstream signaling after insulin receptor binding, resulting in reduced PI3 kinase activity (Rondinone, C. M. et al., Proc Natl Acad Sci USA 94, 4171-4175 (1997)). This raises an interesting paradox: how does insulin continue to promote adipocyte enlargement and obesity by stimulating cells that are known to be insulin-resistant? Our data raise the interesting possibility that the marked increase in adipocyte Mfge8 expression during obesity may supply the signal that promotes fatty acid uptake in insulin-resistant adipocytes, thereby perpetuating the adipose hypertrophy characteristic of obesity. Finally, unlike insulin, Mfge8 systemically induces fatty acid uptake without directly affecting glucose uptake, thereby providing a mechanism to dissociate regulation of these two major components of nutrient metabolism. The relative contribution of Mfge8-mediated CD36 translocation to increased fatty acid uptake induced by Mfge8 in different organ system is an area of active investigation. In adipocytes, our data indicate that Mfge8 regulates both FATP1 and CD36 translocation. The roles of CD36 and FATP1 in promoting fatty acid uptake are well established in adipocytes (Coburn, C. T. et al., J Biol Chem 275, 32523-32529 (2000); Wu, Q. et al., Mol Cell Riot 26, 3455-3467 (2006)), and cardiac myocytes (Coburn, C. T. et al., J Biol Chem 275, 32523-32529 (2000); Tanaka, T. et al., J Lipid Res 42, 751-759 (2001)) and are consistent with a model whereby the effect of Mfge8 on fatty acid uptake in these tissues is mediated through translocation of FATP1 and CD36 to the cell surface. Whether the effect of Mfge8 on fatty acid uptake in the intestinal tract is primarily mediated through CD36 is less clear. Absorption of dietary fats is a multistep process that begins with luminal breakdown of ingested triglycerides into free fatty acids that are subsequently taken up by enterocytes where they are re-esterified and secreted as chylomicrons (Bamba, V. & Rader, D. J., Gastroenterology 132, 2181-2190 (2007)). CD36 modulates both absorption of dietary fats and secretion of triglycerides by intestinal epithelial cells (Drover, V. A. et al., J Biol Chem 283, 13108-13115 (2008); Drover, V. A. et al., J Clin Invest 115, 1290-1297 (2005); Nassir, F. et al., J Biol Chem 282, 19493-19501 (2007)). The impairment in Mfge8−/− enterocyte fatty acid uptake in vitro and the increase in fecal energy content in Mfge8−/− mice suggest that the main effect of Mfge8 is to stimulate uptake of fatty acids rather than regulate secretion of chylomicrons. As we found in adipocytes, Mfge8 may interact with additional fatty acid transporters in the gastrointestinal tract leading to overlapping but not identical phenotypes in enteral fat absorption in Mfge8−/− and CD36−/− mice. While the protection from the DIO in Mfge8−/− mice is reminiscent of MGAT2−/− mice, MGAT2−/− mice have a delay in absorption rather than malabsorption of dietary fats. Yen, C. L. et al., Nat Med 15, 442-446 (2009)). Our work also identifies an important role for integrins in regulating lipid homeostasis. We show that both the αvβ3 and αvβ5 integrins induce AKT phosphorylation via PI3 kinase and mTORC2. Of note, integrins are overexpressed in many malignancies (Mizejewski, G. J., Proc Soc Exp Biol Med 222, 124-138 (1999)) and overexpression is important in the interaction of malignant cells with the extracellular matrix relative to both cancer growth and metastasis (Zhao, Y. et al., Cancer Res 67, 5821-5830 (2007)). Our data raise the possibility that integrin overexpression in malignancies may increase tumor cell fatty acid uptake. This may be particular importance in malignancies such as prostate cancer where cells preferentially metabolize fatty acids. (Liu, Y., Anticancer Res 30, 369-374 (2010)) and overexpress the αvβ3 integrin (Zheng, D. Q., Cancer Res 59, 1655-1664 (1999)). Our results provide a mechanism to explain the recent observations that Mfge8 is located in a region linked with susceptibility to obesity in humans (Rankinen, T. et al., Obesity (Silver Spring) 14, 529-644 (2006)) and that adipose expression of Mfge8 is increased in human obesity (Henegar, C. et al., Genome Biol 9, R14 (2008)). Collectively, our data indicate that Mfge8 ligation of integrin receptors regulates body fat content by regulating the uptake of fatty acids in the alimentary tract and in peripheral tissues. From the therapeutic viewpoint, this pathway can be targeted for the treatment of malabsorption syndromes or obesity. To our knowledge, we show the first evidence of a pathway that can augment absorption of dietary fats and serve as a target for the treatment of fat malabsorption. In addition, inhibition of the Mfge8-dependent pathway will provide a novel therapeutic target for the treatment of obesity that directly inhibits the molecular pathways of fat absorption in the gastrointestinal tract. A better understanding of the mechanisms that regulate fat uptake and storage is of significant interest in the light of the high morbidity, mortality and economic burden associated with obesity and obesity-related disease. Supplemental Methods Mice. All animal experiments were approved by the UCSF Institutional Animal Care and Use Committee in adherence to NIH guidelines and policies. In vivo studies were conducted with two different lines of mice deficient in Mfge8. Some studies were carried out on Mfge8−/− mice created by a gene disruption vector. These mice have been extensively characterized and have the same phenotypes as Mfge8−/− mice created by homologous recombination (Silvestre, J. S. et al., Nat Med 11, 499-506 (2005); Atabai, K. et al., Mol Biol Cell 16, 5528-5537 (2005)). Mice were backcrossed 10 generations into the C57bl/6 background and bred as Mfge8−/− breeding pairs and Mfge8+/+ breeding pairs. In a subset of studies, Mfge8−/− and Mfge8+/− breeding pairs were used to generate sibling littermates from the same cage. A second line of Mfge8 mice created by homologous recombination was obtained from RIKEN (Hanayama, R. et al., Nature 417, 182-187 (2002)). These mice were bred as Mfge8−/− and Mfge8+/− breeding pairs and used in some studies and as Mfge8−/− and Mfge8+/+ breeding pairs for studies used in FIGS. 3A-3K and, and for harvesting of all primary cells used in in vitro studies. All mice were age- (6-8 weeks of age unless otherwise noted) and sex-matched. β3−/− and β5−/− mice in the 129 SVEV strain have been previously described (Huang, X. et al., Mol Cell Blot 20, 755-759 (2000); Su, G. et al., Am J Respir Crit Care Med 185, 58-66 (2012)). CD36−/− mice were generously provided by Roy Silverstein and were in the C57bl/6 background. FAP1−/− mice were also in the C57bl/6 background (Wu, Q. et al., Mol Cell Biol 26, 3455-3467 (2006)). For FIGS. 3C-3I investigator were blinded to genotypes until statistical analysis of the data. Investigators were not blinded as to genotype in animal studies that involved weighing mice on a high-fat diet, obtaining insulin tolerance tests, and determining body composition by Dexa scan. Investigators were blinded to the mouse genotypes for the energy expenditure experiments which were done by a core facility. High-Fat Diet. 8 to 10 week-old mice were placed on a high-fat formula containing 60% fat calories (Research Diets, Inc.) for 12 weeks. The control diet contained 9% fat calories (PMI). Mice were housed in groups of 5 mice per cage for diet experiments including weights, insulin tolerance tests, Dexa scanning for body composition, adipocyte size quantification, and hepatic triglyceride content with each cage of 5 mice representing an independent experiment. Fluorescent Fatty Acid Uptake Assay. Uptake of fatty acids by differentiated 3T3-L1 adipocytes or primary mouse adipocytes was assessed using a QBT Fatty Acid Uptake Kit (Molecular Devices). Cells were plated in triplicate in 96-well plates at a concentration of 25,000 cells per well in 100 μl of DMEM/10% FCS. Plates were centrifuged at 1000 rpm for 4 minutes and incubated at 37° Celsius for 4-5 hours. Cells were then serum deprived for 1 hour before treatment with recombinant proteins for 30 minutes followed by the addition of QBT Fatty Acid Uptake solution. In experiments using function-blocking antibodies, antibodies against mouse integrins αv (clone RMV-7) (Takahashi, K. et al., J Immunol 145, 4371-4379 (1990))β3 (clone 2C9.G2; BD Biosciences) (Ashkar, S. et al., Science 287, 860-864 (2000)), 135 (clone ALULA) (Su, G. et al., American journal of respiratory cell and molecular biology 36, 377-386 (2007)), 131 (clone HA2/5; BD Biosciences, anti-rat with cross-reactivity with mouse (Zovein, A. C. et al., Developmental cell 18, 39-51 (2010)), CD36 (clone MF3; Abcam) (Helming, L. et al., Journal of cell science 122, 453-459 (2009)), human integrins αv (clone L230) (Thomas, G. J. et al., British journal of cancer 87, 859-867 (2002)), 133 (clone Axum-2) (Su, G. et al., Am J Respir Crit Care Med 185, 58-66 (2012)), 135 (clone ALULA), 131 (clone P5D2), cycloRGD and cycloRAD (BACHEM) were added to cells after serum deprivation and cells were incubated for 20 minutes at 4° Celsius prior to addition of recombinant proteins. Plates were incubated in a fluorescent plate reader at 37° Celsius and kinetic readings were acquired every 20 seconds for 30 minutes. Fluorescence values were plotted against time and data was expressed as relative fluorescent units per minutes×103. 3T3-L1 Cell/HepG2 Cell Culture. 3T3-L1 (Zen-Bio) fibroblasts were differentiated into adipocytes as described previously (Liao, J. et al., J Lipid Res 46, 597-602 (2005)). Briefly, 3T3-L1 fibroblasts were cultured to confluence on 10 cm tissue culture plates or in 6-well tissue culture dishes in DMEM supplemented with 10% FBS and 25 mM HEPES (normal medium). 2 days after reaching confluence, media was change and 3-isobutyl-1methylxanthine (Calbiochem), dexamethasone (Sigma), and insulin (Sigma) were added to the normal medium at concentrations of 0.5 mM, 1 μM, and 5 μg/mL, respectively, to induce adipocyte differentiation. After 2 days (and every 2 days thereafter), media was replaced with normal medium supplemented with 5 μg/mL insulin. Cells were harvested for use 6-10 days after differentiation. The human hepatocellular carcinoma cell line HepG2 was a generous gift of Dr. Ethan Weiss. Cells were propagated in Eagle's MEM supplemented with 10% fetal bovine serum. Olive Oil Gavage and Serum Triglyceride and Fatty Acid Content. 6-8 week-old mice were fasted for 4 hours and then gavaged with 15 μL olive oil per gram body weight. Mice had access to water but not food for the remainder of the experiment. In the experiments in FIGS. 3C and 3F, 50 μg/kg body weight of recombinant protein was mixed into olive oil and administered immediately to mice by gavage. In blocking antibody experiments, integrins αv (clone RMV-7) and 05 (clone ALULA) were administered by gavaging mice with 100 μL water containing 0.5 μg antibody per gram body weight 30 minutes before olive oil gavage. Triglyceride content of serum was assayed using a commercially available kit (Sigma-Aldrich) and fatty acid concentrations were quantified by Wako (Rabot, S. et al., FASEB J 24, 4948-4959 (2010)). Quantification of Liver, Intestinal, and Fecal Triglyceride Content. After experiments described above, samples from the left lobe of the liver and the proximal small intestine were isolated and rapidly frozen in liquid nitrogen for triglyceride content assays. Control mice were starved for 8 hours before their organs were harvested as above. Triglyceride content of the intestine (Uchida, A. et al., Front Physiol 3, 26) and liver (Kim, K. Y. et al., J Clin Invest 121, 3701-3712 (2011)) and fecal samples Kim, K. Y. et al., J Clin Invest 121, 3701-3712 (2011)) were quantified as described previously. Glucose Gavage and Blood Glucose Measurement. 6-8 week-old mice were fasted for 4 hours and then gavaged with 1.5 mg glucose per gram body weight. In the experiments in FIG. 7A, 50 μg/kg body weight of recombinant protein was mixed into glucose solution and administered immediately to mice by gavage. In blocking antibody experiments, integrins αv (clone RMV-7) and β5 (clone ALULA) were administered orally with 100 μL water containing 0.5 μg antibody per gram body weight 30 minutes before glucose gavage. Blood glucose levels were measured by sampling from the tail vein of mice from 0-60 minutes after glucose administered. Fecal Fatty Acid Content. 6-8 week-old mice were fasted for 4 hours and then gavaged with 2 μg BODIPY per gram body weight. Feces were collected from 20 minutes to 4 hours after BODIPY administered. 50 mg of feces was homogenized in PBS contained 30 mM HEPES, 57.51 mM MgCl2 and 0.57 mg/ml BSA with 0.5% SDS and sonicated for 30 seconds, and then centrifuged at 1000 g for 10 minutes. Supernatants were transferred to 96 well plates and Fluorescence values were measured immediately using a fluorescence microplate reader for endpoint reading (Molecular Devices). Primary Adipocyte, Hepatocyte, Enterocyte, and Adipocyte Progenitor Culture. Adipocytes. Primary mouse adipocytes were obtained from epididymal fat pads by collagenase digestion in Krebs-HEPES (KRBH) buffer followed by filtering through a 100 μm strainer which was then washed with an additional 7.5 mL KRBH buffer. Adipocytes were allowed to float to the top of the mixture for 5 minutes, and the solution under the adipocyte layer was removed with a syringe. The adipocytes were washed with 10 mL KRBH and again allowed to float to the surface, at which point the solution was again removed. This process was repeated for a total of 3 washes. After the last aspiration, adipocytes were resuspended in 0.5-1.0 mL and counted. Adipocyte Progenitors. Primary mouse adipocyte progenitors from the vascular stromal fraction were isolated and cultured as reported previously (Tseng et al., 2008). In brief, subcutaneous white adipose tissue was removed, minced and digested with 1 mg/ml collagenase for 45 min at 37° C. in DMEM/F12 medium containing 1% BSA and antibiotics. Digested tissues were filtered through sterile 150 μm nylon mesh and centrifuged at 250 g for 5 min. The floating fractions consisting of adipocytes were discarded and the pellets representing the stromal vascular fractions were resuspended in erythrocyte lysis buffer (154 mM NH4Cl, 10 mM KHCO3, 0.1 mM EDTA) for 10 min to remove red blood cells. The cells were further centrifuged at 500 g for 5 min, plated at 8×105 per well of a 24-well plate, and grown at 37° C. in DMEM/F12 supplemented with 10% FBS at 37° C. 2 days after cells reached 100% confluence, cells were treated with 1 μM rosiglitazone and 5 μg/mL insulin to induce terminal differentiation. Primary Hepatocytes. The liver was pre-perfused through the portal vein with calcium-free buffer (0.5 mM EDTA, HBSS without Ca2+ and Mg2+) and next perfused with collagenase (3.5 U/ml Collegenase II (Worthington) 25 mM HEPES, HBSS with Ca2+ and Mg2+). Parenchymal cells were purified by Percoll buffer (90% Percoll (Sigma), 1×PBS) at low-speed centrifugation (1500 rpm for 10 min). Viability of isolated hepatocytes was determined by Trypan blue staining (around 85%) and cell density was approximately 60% confluence. Cells were plated in collagen-I-coated dishes and cultured at 37° C. in a humidified atmosphere of 95% O2 and 5% CO2 in growth medium (Huang, P. et al., Nature 475, 386-389 (2011)). Primary Enterocytes. The proximal small intestine was collected from anesthetized mice, and the luminal contents were emptied, washed with 115 mM NaCl, 5.4 mM KCl, 0.96 mM NaH2PO4, 26.19 mM NaHCO3, and 5.5 mM glucose buffer, pH 7.4, and gassed for 30 minutes with 95% O2 and 5% CO2. The proximal small intestines were then filled with buffer containing 67.5 mM NaCl, 1.5 mM KCl, 0.96 mM NaH2PO4, 26.19 mM NaHCO3, 27 mM sodium citrate, and 5.5 mM glucose, pH 7.4, saturated with 95% O2 and 5% CO2, and incubated in a bath containing oxygenated saline at 37° C. with constant shaking After 15 minutes, the luminal solutions were discarded and the intestines were filled with buffer containing 115 mM NaCl, 5.4 mM KCl, 0.96 mM NaH2PO4, 26.19 mM NaHCO3, 1.5 mM EDTA, 0.5 mM dithiothreitol, and 5.5 mM glucose, pH 7.4, saturated with 95% O2 and 5% CO2, and bathed in saline as described above. After 15 minutes, the luminal contents were collected and centrifuged (1,500 rpm, 5 minutes, room temperature), and the pellets were resuspended in DMEM saturated with 95% O2 and 5% CO2=(Anwar, K. et al., J Lipid Res 48, 2028-2038 (2007)). Primary Cardiomyocytes. Hearts were immersed in ice-cold calcium-free perfusion buffer containing (in mmol/L) NaCl 120.4, KCl 14.7, KH2PO4 0.6, Na2HPO4 0.6, 5 MgSO4-7H2O 1.2, Na-HEPES 10, NaHCO3 4.6, taurine 30, butanedione monoxime (BDM) 10, glucose 5.5, and then perfused through the aorta with calcium-free perfusion buffer (3 ml/minutes) for 4 minutes, then switched to calcium-free digestion buffer (perfusion buffer containing collagenase II [2 mg/ml] from Worthington Biochemical) for 10 minutes. This was followed by perfusion with digestion buffer containing 100 μmol/L CaCl2 for another 8-10 minutes. Hearts were removed from the perfusion apparatus and placed in a 10 cm Petri dish containing 2 ml digestion buffer and 3 ml of stop buffer (perfusion buffer supplemented with 10% FBS). The atria were removed and the ventricles were pulled into 10-12 equally sized pieces. Tissue was then gently dispersed into cell suspension using plastic transfer pipettes. The cell suspension was collected in a 15 ml falcon tube, brought to 10 ml with stop buffer and centrifuged at 40×g for 3 minutes. Damaged myocytes and non-myocytes were removed by a series of washes in 10 ml stop buffer containing, sequentially, 100, 400, or 900 μmol/L CaCl2. Cardiomyocytes were pelleted by centrifugation at 40×g for 3 minutes after each wash and plated in laminin coated dishes (Smyth, J. W. et al., Circ Res 110, 978-989 (2012)). Recombinant Protein Production. Recombinant protein constructs were created and expressed in High 5 cells as previously described (Atabai, K. et al., J Clin Invest 119, 3713-3722 (2009)). For studies using different recombinant constructs the molar equivalent of 10 μg/mL of full-length recombinant Mfge8 was used for each construct. Western Blot. Following tissue preparation and SDS-PAGE, membranes were incubated with a polyclonal antibody against Akt (Cell Signaling Technology), or Rictor (Cell Signaling); Anti-PGC1 alpha antibody (abcam); a monoclonal antibody against Phospho-Akt Ser473 (Cell Signaling), Phospho-Rictor Thr1135 (Cell Signaling), GAPDH (Cell Signaling), or Mfge8 (R&D Systems). For evaluation of total AKT and total Rictor, membranes that been blotted for phospho-AKT and phospho-Rictor were stripped and reprobed. Plasma membrane and post-plasma membrane fractions were isolated as previously described (Nishiumi, S. & Ashida, H., Biosci Biotechnol Biochem 71, 2343-2346 (2007)). Immunohistochemistry. 5 μm sections were boiled for 15 minutes in 10 mM sodium citrate (pH 6) for antigen retrieval and blocked with H2O2 in methanol and subsequently 2% BSA. Rabbit anti-MAC2 antibody (Cedarlane, CL8942AP) directed against MAC2 was used at 1:3800 dilution in TBS and 0.5% tween, followed by a 1:200 biotinylated anti-rabbit secondary antibody (Vector), ABC reagent (Vector) and liquid diaminobenzidine substrate (Sigma). Morphometric Analysis. Paraffin embedded eWAT sections from 5 CD and 10 HFD mice were stained with H&E. For each section 5 high-power field (HPF) pictures were taken at 100× magnification. The average number of adipocytes per HPF for each section was counted and the diameter of each adipocyte was measured using Image-Pro Plus MDA. Investigators were blinded to genotype during quantification. Flow Cytometry. Epididymal fat pads were dissected, weighed, and placed in a buffered collagenase solution for homogenization using a GentleMACS tissue dissociator. Homogenized tissue was incubated at 37° C. on a rotating shaker at 250 rpm for 30 minutes, then passed through a 40 um strainer and rinsed with 10 mL ice-cold PBS. After a red blood cell lysis step, cells were stained for viability using a LIVE/DEAD aqua fixable stain kit (Invitrogen, Carlsbad, Calif.) and then for the following stains to identify macrophage subtype and eosinophil populations: CD45 (clone 30-F11, BioLegend, San Diego, Calif.), CD11b (clone M1/70, BioLegend), F4/80 (clone BM8, BioLegend), CD11c (clone N418, BioLegend), CD301 (clone ER-MP23, AbdSerotec, Oxford, United Kingdom), Siglec (clone E50-2440, BD Pharmingen, San Diego, Calif.). A second set of cells from the fat pads were similarly stained for viability and then with the following antibodies to identify lymphocyte populations: CD45, CD4 (clone RM4-4, BioLegend), CD44 (clone IM7, Ebioscience), CD62L (clone MEL-14, BD Pharmingen), and FoxP3 (clone FJK-16s, Ebioscience, San Diego, Calif.). Spleens were removed after sacrificing ironic within. The splenocytes were treated to lyse red blood cells and subsequently stained for viability and the lymphocyte markers detailed above. Flow cytometry was performed on a BD FACS flow cytometer and analyzed using FlowJo Software (Tree Star Inc., Ashland, Oreg.). Body Fat Analysis. Bone, lean, and fat mass analysis was performed with GE Lunar PIXImus II Dual Energy X-ray Absorptiometer. CLAMS Metabolic Cage Analysis. Mice were placed in single housing cages for 5 days prior to initiating experimental analysis for a period of 96 hours. Mice were on a HFD for 10 days prior to initiating the analysis. The following variables were measured: food and water intake, oxygen consumption (VO2) and carbon dioxide production (VCO2) (at 13 minute intervals), and locomotor activity. Infrared beams monitored movement in the X, Y, and Z directions. The data presented was from the last 48 hours of the analysis (Sutton, G. M. et al., Endocrinology 147, 2183-2196 (2006)). Measurements of Fecal Energy Content. Feces from mice on a HFD were freeze dried (samples from 2 mice were combined for each sample) and pulverized with a ceramic mortar and pestle. Caloric content of feces was measured with an 1108 Oxygen Combustion Bomb calorimeter. Insulin Tolerance Tests. For insulin tolerance test, mice were fasted for 5 hours after which they were injected with 1.5 U/kg of insulin IP. Blood was collected from the tail vein immediately before injection and then again after 15, 30, 60, and 90 minutes for evaluation of blood glucose. Statistical Analysis Data were assessed for normal distribution and similar variance between groups using Graphpad Prism 6.0. One-way ANOVA was used to make comparisons between multiple groups. When the ANOVA comparison was statistically significant (P<0.05), further pairwise analysis was performed using a Bonferroni t-test. 2-sided Student's t-test, Mann-Whitney t-test or unpaired t-test with Welch's correction was used for comparisons between 2 groups depending on the distribution and variance of the data. GraphPad Prism 6.0 was used for all statistical analysis. All data are presented as mean±s.e.m. Sample size for animal experiments were selected based on numbers typically used in the literature. There was no randomization of animals. Example 2 Mfge8 Modulates Glucose Uptake In Vitro and In Vivo This example illustrates that the administration of recombinant Mfge8 (rMfge8) induces acute insulin resistance in cultured adipocytes and in vivo, while the administration of αv or β5 integrin blocking antibodies significantly increased the effect of insulin on glucose uptake in vitro and in vivo. This example also shows that IP co-administration of rMfge8 and insulin in mice resulted in significantly higher serum glucose levels as compared with insulin injection alone. Furthermore, pretreatment with αv or β5 integrin blocking antibody prior to insulin injection resulted in significantly lower serum glucose levels after insulin injection as compared to insulin injection alone. FIG. 9 shows that Mfge8 induces insulin resistance in 3T3-L1 adipocytes. Glucose uptake in 3T3-L1 adipocyte with and without 20 min treatment with recombinant Mfge8 or RGE (10 μg/ml) and insulin (1 μM) or both mfge8 and insulin (n=8, P<0.05). Data are expressed as mean±s.e.m. Each replicate represents an independent experiment. FIG. 10 shows that integrin receptor blockade enhances insulin sensitivity in primary adipocytes. Glucose uptake in Mfge8−/− and Mfge8+/+ primary adipocytes, with and without 20 min treatment with insulin (1 μM) and effect of pretreatment with integrin blocking antibodies (0.5 μg/g, IP, 15 min before insulin) on glucose uptake in Mfge8+/+ adipocytes. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment (n=8, P<0.05). Pretreatment with αv, b3 or b5 integrin blocking antibody prior to insulin injection resulted in significantly lower serum glucose levels after insulin injection as compared with insulin injection alone. FIG. 11 shows that Mfge8 induces acute insulin resistance in vivo. 8-week-old Mfge8−/− and Mfge8+/+ control mice were fasted for 4 hours, then blood glucose was measured 15 min after IP injection of insulin (1 U/kg), saline, RGE (50 μg/kg) or a combination of insulin (1.5 U/Kg) and rMfge8 or RGE construct (50 μg/kg). Data are expressed as mean±s.e.m. Each replicate represents an independent experiment (n=4, P<0.05). FIG. 12 shows that integrin blockade induces acute insulin sensitivity in vivo. 8-week-old Mfge8−/− and Mfge8+/+ control mice were fasted for 4 hours, then received blocking antibodies (0.5 μg per gram body weight) (αv (clone RMV-7) and βv (clone ALULA)) IP. 15 min prior insulin (1 U/kg) or saline, blood glucose was measured 15 min after IP injection of insulin (1 U/kg) or saline. Data are expressed as mean±s.e.m. Each replicate represents an independent experiment (n=4, P<0.05). While recombinant Mfge8 (rMfge8) had no effect on baseline glucose uptake, rMfge8 significantly inhibited while αv or 05 integrin blocking antibodies significantly increased the effect of insulin on glucose uptake in both 3T3-L1 adipocytes and primary WT adipocytes. IP co-administration of rMfge8 and insulin in mice resulted in significantly higher while pretreatment with αv or 05 integrin blocking antibody prior to insulin injection resulted in significantly lower serum glucose levels after insulin injection as compared with insulin injection alone. These data indicate that Mfge8 acutely modulates the glucose uptake response to insulin. Co-injection of αv or 05 integrin blocking antibodies with insulin may reduce insulin requirements by approximately 50%. Systemic therapy with αv or 05 integrin blocking antibodies may enhance insulin sensitivity in at-risk populations of individuals. Glucose Uptake Assay. Uptake of fatty acids by primary cells and cell lines was assessed using the fluorescent D-glucose analog 2-[N-(7-nitrobenz-2-oxa-1,3-diazol-4-yl)amino]-2-deoxy-D-glucose (2-NBDG) (Invitrogen). 2-NBDG has been successfully used as an alternative to 2-deoxyglucose in the measurement of glucose uptake in multiple cell types. Cells were plated in triplicate in 96-well plates at a concentration of 25,000 cells per well in 100 μl of DMEM/10% FCS. Plates were centrifuged at 1000 rpm for 4 minutes and incubated at 37° C. for 4-5 hours. Cells were then serum deprived for 1 hour before treatment with recombinant proteins for 20 minutes followed by the addition of 2-NBDG (100 μM) for 10 min at 37° C. in a humidified atmosphere of 5% CO2. Reaction was stopped by adding a twofold volume of ice-cold PBS and the wells were washed again with ice-cold PBS three times. The fluorescent signal before (autofluorescence) and after adding 100 μM 2-NBDG was measured using fluorescent plate reader at 37° C. (Molecular Device using the 485 nmex and 520 nmemiss filter set). The net increase in fluorescence was normalized to the lowest signal (0 cells/well). In experiments using function-blocking antibodies, antibodies against mouse integrins αv (clone RMV-7), P3 (clone 2C9.G2; BD Biosciences), β5 (clone ALULA), β1 (clone HA2/5; BD Biosciences), anti-rat with cross-reactivity with mouse, were added to cells after serum deprivation and cells were incubated for 20 minutes prior to addition of recombinant proteins. Insulin Tolerance Test. Mice were fasted for 4 hours after which they were injected with 1.5 U/kg of insulin IP. Blood was collected from the tail vein immediately before injection and then again after 15, 30, 60, and 90 minutes for evaluation of blood glucose. Blood Glucose Measurement. In experiments using RGE or Mfge8 construct: mice received 50 μg/kg body weight of recombinant protein. In experiments using function-blocking antibodies: 6-8 week-old mice were fasted for 4 hours and then each mouse received 0.5 μg antibody (integrins αv (clone RMV-7) and (35 (clone ALULA)) per gram body weight in total volume of 200 μl, 15 minutes later each mouse received an insulin injection (1.5 U/kg, intraperitoneal injection (IP)). Blood glucose levels were measured by sampling from the tail vein of mice from 15 minutes after insulin administered. EXAMPLE Mfge8 Modulates Glucose Uptake In Vitro and In Vivo This example illustrates enhanced, antral smooth muscle contraction in Mfge8−/− mice. It also shows that PI3K inhibition prevented exaggerated Mfge8−/− antral ring contraction. FIGS. 13A-13C show the force of antral smooth muscle ring contraction with and without the addition of the rMfge8 or RGE construct (FIG. 13A) or after in vivo induction of transgenic Mfge8 expression in Mfge8−/−sm+ mice in response to MCh (FIG. 13B). FIG. 13C shows the force of antral contraction with and without epithelium (denuded). Mfge8−/− mice have enhanced gastric emptying and more rapid small intestine transit time (SIT). FIGS. 13D and 13E show that gastric emptying was measured by the proportion of phenol red remaining in the stomach 15 minutes after gavage. N=7-10. FIGS. 13F and 13G shows small intestinal transit times after gavage with Carmine dye with subsequent evaluation at 15 minutes of dye migration along the intestinal tract. N=5-10 in FIG. 13C and 3-5 in FIG. 13D. In FIGS. 13E and 13G, Mfge8−/−sm+ and single transgenic controls were placed on doxycycline for 2 weeks prior to the experiments to induce Mfge8 production in the smooth muscle. *P<0.05, **P<0.01, ***P<0.001. In FIG. 14A, the antral rings were treated for 15 min with PI3K inhibitor wortmannin (Wort.100 nm) followed by assessment of contractile force in response to MCh. N=3-4. *** P<0.0001. FIG. 14B shows that Mfge8 reduces AKT phosphorylation. Western blot of antral tissue were treated for 30 minutes with or without rMfge8. FIG. 14C shows that wortmannin prevents RhoA activation. Western blot of antrum were treated for 30 minutes with wortmannin (100 ng/ml) or Mfge8 and then with MCh for 15 minutes prior to quantifying active RhoA using a GST pull-down. FIG. 14D shows that Mfge8 modulates PTEN activity. PTEN activity assay measured the conversion of PIP3 to PIP2 in freshly isolated antrum with and without the rMfge8 or RGE construct (10 μg/ml) n=3-5. *P<0.05, **P<0.01, ***P<0.001. It is understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims. All publications, patents, and patent applications cited herein are hereby incorporated by reference in their entirety for all purposes.",C07K162848,C07K1628,20160114,20180626,20160602,69562.0 5,14995057,PENDING,Systems and Methods for Intra-Operative Image Analysis,"A system and method that acquire (i) at least a reference image including one of a preoperative image of a surgical site with skeletal and articulating bones and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least an intraoperative image of the site after an implant has been affixed to the articulating bone. The system generates at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. The reference and intraoperative images are compared, and differences between the orientation of the articulating bone in the two images are utilized to analyze at least one of offset and length differential.","1. A system to analyze images at a surgical site within a patient, the surgical site including at least one skeletal bone and at least one articulating bone that has a longitudinal axis and articulates with the skeletal bone at a joint, the system comprising: an image capture module capable of acquiring (i) at least one reference image including one of a preoperative image of the surgical site and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one intraoperative image of the site after an implant has been affixed to the articulating bone, the implant having at least a skeletal component with a first center of rotation and an articulating bone component having a second center of rotation, the first and second centers of rotation being co-located in the intraoperative image; a landmark identification module capable of receiving the reference and intraoperative images and generating at least one reference landmark point on at least the articulating bone in the reference image and at least one intraoperative landmark point on at least the articulating bone in the intraoperative image; an image comparison module capable of identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image; and an analysis module capable of utilizing differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image to analyze at least one of offset and length differential of at least the articulating bone in the intraoperative image. 2. The system of claim 1 wherein the reference and intraoperative images are provided by the image capture module to the landmark identification module in a digitized format. 3. The system of claim 1 wherein the analysis module calculates a difference angle between the longitudinal axis of the femur in the reference image relative to the longitudinal axis of the femur in the intraoperative image and then estimates a corrected landmark point based on that difference angle. 4. The system of claim 3 wherein the analysis module estimates a corrected intraoperative landmark point by calculating a first radius between the estimated center of rotation and the intraoperative landmark and then selecting the corrected intraoperative landmark point at a second radius spaced at the difference angle from the first radius. 5. The system of claim 3 wherein the analysis module calculates length differential by estimating distance from the reference landmark point to a corrected intraoperative landmark point in a direction parallel to the longitudinal axis of the femur in the reference image. 6. The system of claim 3 wherein the analysis module calculates offset by estimating distance from the reference landmark point to a corrected intraoperative landmark in a direction perpendicular to the longitudinal axis of the femur in the reference image. 7. The system of claim 1 wherein (a) at least one of the image comparison module, the landmark identification module and the image comparison module identifies at least one stationary point on the skeletal bone in each of the reference image and intraoperative image, and (b) at least one of the image comparison module, the landmark identification module and the image comparison module aligns the reference image and intraoperative image according to at least the stationary point in each image. 8. The system of claim 7 wherein aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. 9. The system of claim 1 wherein the reference image and the intraoperative image are at least one of aligned and scaled relative to each other prior to the analysis module analyzing offset and length differential. 10. The system of claim 9 wherein at least two stationary points are generated on the skeletal bone in the reference image to establish a reference stationary base and at least two stationary points are generated on the skeletal bone in the intraoperative image to establish an intraoperative stationary base, and at least one of the image comparison module, the landmark identification module and the image comparison module utilizes the reference and intraoperative stationary bases to accomplish at least one of image alignment and image scaling. 11. The system of claim 9 wherein at least one of the image comparison module, the landmark identification module and the image comparison module provides at least relative scaling of one of the reference and intraoperative images to match the scaling of the other of the reference and intraoperative images. 12. A system to analyze images at a surgical site within a patient, the surgical site including at least one skeletal bone and at least one articulating bone that has a longitudinal axis and articulates with the skeletal bone at a joint, the system including a memory, a user interface including a display capable of providing at least visual guidance to a user of the system, and a processor, with the processor executing a program performing the steps of: acquiring (i) at least one digitized reference image including one of a preoperative image of the surgical site and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one digitized intraoperative image of the site after an implant has been affixed to the articulating bone, the implant having at least a skeletal component with a first center of rotation and an articulating bone component having a second center of rotation, the first and second centers of rotation being co-located in the intraoperative image; generating at least one reference landmark point on at least the articulating bone in the reference image and at least one intraoperative landmark point on at least the articulating bone in the intraoperative image; identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image; and utilizing differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in and the intraoperative image to analyze at least one of offset and length differential of at least the articulating bone in the intraoperative image. 13. The system of claim 12 wherein aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. 14. A method for analyzing images to quantify restoration of orthopaedic functionality at a surgical site within a patient, the surgical site including at least one skeletal bone and at least one articulating bone that has a longitudinal axis and articulates with the skeletal bone at a joint, the method comprising: acquiring (i) at least one reference image including one of a preoperative image of the surgical site and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one intraoperative image of the site after an implant has been affixed to the articulating bone, the implant having at least a skeletal component with a first center of rotation and an articulating bone component having a second center of rotation, the first and second centers of rotation being co-located in the intraoperative image; generating at least one reference landmark point on at least the articulating bone in the reference image and at least one intraoperative landmark point on at least the articulating bone in the intraoperative image; identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image; and utilizing differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image to analyze at least one of offset and length differential of at least the articulating bone in the intraoperative image. 15. The method of claim 14 wherein aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. 16. The method of claim 14 wherein the pelvis of the patient is selected as the skeletal bone and a femur is selected as the articulating bone, and the skeletal component of the implant is an acetabular cup and the articulating bone component includes a femoral stem pivotally connectable to the acetabular cup to establish the first center of rotation for the implant. 17. The method of claim 16 wherein the landmark point on the articulating bone is identified to have a known location relative to the greater trochanter on the femur of the patient. 18. The method of claim 14 wherein the reference and intraoperative images are acquired in a digitized format. 19. The method of claim 18 wherein the length differential is calculated by estimating distance from the reference landmark point to a corrected intraoperative landmark point in a direction parallel to the longitudinal axis of the femur in the reference image. 20. The method of claim 19 wherein the offset is calculated by estimating distance from the reference landmark point to a corrected intraoperative landmark in a direction perpendicular to the longitudinal axis of the femur in the reference image."," BACKGROUND OF THE INVENTION Orthopaedic surgeons have the option of utilizing computer-assisted navigation systems to provide intraoperative surgical guidance. For example, computer navigation can provide data on functional parameters such as leg length and offset changes during hip arthroplasty. The purported benefits of computer navigation include reduction of outliers and adverse outcomes related to intraoperative positioning of surgical hardware. Despite obvious clinical benefit, these systems have had limited adoption due to their expense, the learning curve and training requirements for surgeons and, for some systems, the additional procedure and time associated with hardware insertion into the patient. Surgeons that do not use these systems are limited to traditional techniques that are generally based on visual analysis and surgeon experience. However, these techniques are inconsistent, often leading to outliers in functional parameters which may affect patient satisfaction and implant longevity. Details of one such technique, specifically used in a minimally invasive hip arthroplasty technique referred to as the direct anterior approach, are mentioned in the description of a total hip arthroplasty surgery, by Matta et al. in “Single-incision Anterior Approach for Total hip Arthroplasty on an Orthopaedic Table”, Clinical Ortho. And Related Res. 441, pp. 115-124 (2005). The intra-operative technique described by Matta et al. is time-consuming and has a high risk of inaccuracy due to differences in rotation, magnification and/or scaling of various images, because the technique relies upon acquiring a preoperative and intraoperative image that are scaled and positioned equivalently. The technique also requires consistent patient positioning in the preoperative and intraoperative images, including positioning of the femur relative to the pelvis. Maintaining femoral position while performing hip arthroplasty can pose a significant and often unrealistic challenge to a surgeon that is focused on performing a procedure. The high risk of inaccurate interpretation using this technique has limited its utility in guiding surgical decision making. What appears to be a software implementation of this technique is described by Penenberg et al. in U.S. Patent Publication No. 2014/0378828, which is a continuation-in-part application of U.S. Pat. No. 8,831,324 by Penenberg. While the use of a computer system may facilitate some aspects of this technique, the underlying challenges to the technique are consistent with the challenges to Matta's approach, and limit the system's potential utility. The challenge of accounting for differences in femoral positioning, ever-present in existing non-invasive guidance technologies for hip arthroplasty, could be solved by developing a system and method that corrects for deviations between preoperative and intraoperative femoral positioning. It is therefore desirable to have a non-invasive system and method that provides intraoperative guidance and data by correcting for deviations in femoral positioning between preoperative and intraoperative images."," SUMMARY OF THE INVENTION An object of the present invention is to quantify restoration of orthopaedic functionality at a surgical site within a patient, even during a surgical procedure. Another object of the present invention is to provide image analysis and feedback information to enable better fracture reduction and/or optimal implant selection during the surgery. Yet another object of the present invention is to capture and preserve a digital record of patient results for data collection and quality improvements in surgical procedures. A still further object of the present invention is to improve the outcome of bone repositioning, fracture repair, and/or fixation within a patient. This invention results from the realization that postoperative change in offset and leg length can be accurately estimated during surgery by overlaying or otherwise comparing preoperative and intraoperative images that have been consistently scaled based on pelvic anatomy, generating consistent femoral landmarks in each image, and calculating the vector difference between femoral landmarks after correcting for possible differences in femoral positioning between the two images relative to the pelvis. This invention features a system to analyze images at a surgical site within a patient, the surgical site including at least one skeletal bone such as a pelvis and at least one articulating bone such as a femur that has a longitudinal axis and articulates with the skeletal bone at a joint. In one embodiment, the system includes an image capture module capable of acquiring (i) at least one reference image including one of a preoperative image of the surgical site and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least an intraoperative image of the site after an implant has been affixed to the articulating bone. A landmark identification module is capable of receiving the reference and intraoperative images and generates at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. An image comparison module is capable of identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image. An analysis module is capable of utilizing differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image to analyze at least one of offset and length differential. In some embodiments, the first and second images are provided by the image capture module to the landmark identification module in a digitized format. In certain embodiments, the analysis module calculates a difference angle between the longitudinal axis of the femur in the reference image relative to the longitudinal axis of the femur in the intraoperative image and then estimates a corrected landmark point, such as a corrected intraoperative landmark point, based on that difference angle. In one embodiment, the analysis module estimates the corrected intraoperative landmark point by calculating a first radius between the estimated center of rotation and the intraoperative landmark and then selecting the corrected intraoperative landmark point at a second radius spaced at the difference angle from the first radius. In certain embodiments, the analysis module calculates length differential by estimating distance from the reference landmark point to the corrected intraoperative landmark point in a direction parallel to the longitudinal axis of the femur in the reference image, and/or calculates offset by estimating distance from the reference landmark point to the corrected intraoperative landmark in a direction perpendicular to the longitudinal axis of the femur in the reference image. In certain embodiments, at least one of the image comparison module, the landmark identification module and the image comparison module identifies at least one stationary point on the skeletal bone in each of the reference image and intraoperative image, and at least one of the image comparison module, the landmark identification module and the image comparison module aligns the reference image and intraoperative image according to at least the stationary point in each image. In one embodiment, aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. In some embodiments, the reference image and the intraoperative image are at least one of aligned and scaled relative to each other prior to the analysis module analyzing offset and length differential. In one embodiment, at least two stationary points are generated on the skeletal bone in the reference image to establish a reference stationary base and at least two stationary points are generated on the skeletal bone in the intraoperative image to establish an intraoperative stationary base, and at least one of the image comparison module, the landmark identification module and the image comparison module utilizes the reference and intraoperative stationary bases to accomplish at least one of image alignment and image scaling. In another embodiment, at least one of the image comparison module, the landmark identification module and the image comparison module provides at least relative scaling of one of the reference and intraoperative images to match the scaling of the other of the reference and intraoperative images. This invention also features a system including a memory, a user interface having a display capable of providing at least visual guidance to a user of the system, and a processor, with the processor executing a program performing the steps of acquiring (i) at least one digitized reference image including one of a preoperative image of a surgical site with skeletal and articulating bones and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one digitized intraoperative image of the site after an implant has been affixed to the articulating bone. The processor receives the reference and intraoperative images and generates at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. The processor identifies (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image. One or more differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image are utilized to analyze at least one of offset and length differential. This invention further features a method including acquiring (i) at least one reference image including one of a preoperative image of a surgical site with skeletal and articulating bones and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one intraoperative image of the site after an implant has been affixed to the articulating bone. The method further includes receiving the reference and intraoperative images and generating at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. The method includes identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image. One or more differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image are utilized to analyze at least one of offset and length differential. In some embodiments, aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. In certain embodiments, the pelvis of the patient is selected as the skeletal bone and a femur is selected as the articulating bone, and the skeletal component of the implant is an acetabular cup and the articulating bone component includes a femoral stem having a shoulder and pivotally connectable to the acetabular cup to establish the first center of rotation for the implant. The landmark point on the articulating bone is identified to have a known location relative to the greater trochanter on the femur of the patient.","CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation-in-part application of U.S. patent application Ser. No. 14/630,300 filed 24 Feb. 2015, also referred to as “parent application”, and claims priority to U.S. Provisional Application No. 61/944,520 filed 25 Feb. 2014, U.S. Provisional Application No. 61/948,534 filed 5 Mar. 2014, U.S. Provisional Application No. 61/980,659 filed 17 Apr. 2014, U.S. Provisional Application No. 62/016,483 filed 24 Jun. 2014, U.S. Provisional Application No. 62/051,238 filed 16 Sep. 2014, U.S. Provisional Application No. 62/080,953 filed 17 Nov. 2014, and U.S. Provisional Application No. 62/105,183 filed 19 Jan. 2015. This application is also related to U.S. patent application Ser. No. 14/974,225, filed 18 Dec. 2015, by the present inventors. The entire contents of each of the above applications are incorporated herein by reference. FIELD OF THE INVENTION The invention relates to analysis of images of features within a patient and more particularly to accurately analyzing such images during surgery. BACKGROUND OF THE INVENTION Orthopaedic surgeons have the option of utilizing computer-assisted navigation systems to provide intraoperative surgical guidance. For example, computer navigation can provide data on functional parameters such as leg length and offset changes during hip arthroplasty. The purported benefits of computer navigation include reduction of outliers and adverse outcomes related to intraoperative positioning of surgical hardware. Despite obvious clinical benefit, these systems have had limited adoption due to their expense, the learning curve and training requirements for surgeons and, for some systems, the additional procedure and time associated with hardware insertion into the patient. Surgeons that do not use these systems are limited to traditional techniques that are generally based on visual analysis and surgeon experience. However, these techniques are inconsistent, often leading to outliers in functional parameters which may affect patient satisfaction and implant longevity. Details of one such technique, specifically used in a minimally invasive hip arthroplasty technique referred to as the direct anterior approach, are mentioned in the description of a total hip arthroplasty surgery, by Matta et al. in “Single-incision Anterior Approach for Total hip Arthroplasty on an Orthopaedic Table”, Clinical Ortho. And Related Res. 441, pp. 115-124 (2005). The intra-operative technique described by Matta et al. is time-consuming and has a high risk of inaccuracy due to differences in rotation, magnification and/or scaling of various images, because the technique relies upon acquiring a preoperative and intraoperative image that are scaled and positioned equivalently. The technique also requires consistent patient positioning in the preoperative and intraoperative images, including positioning of the femur relative to the pelvis. Maintaining femoral position while performing hip arthroplasty can pose a significant and often unrealistic challenge to a surgeon that is focused on performing a procedure. The high risk of inaccurate interpretation using this technique has limited its utility in guiding surgical decision making. What appears to be a software implementation of this technique is described by Penenberg et al. in U.S. Patent Publication No. 2014/0378828, which is a continuation-in-part application of U.S. Pat. No. 8,831,324 by Penenberg. While the use of a computer system may facilitate some aspects of this technique, the underlying challenges to the technique are consistent with the challenges to Matta's approach, and limit the system's potential utility. The challenge of accounting for differences in femoral positioning, ever-present in existing non-invasive guidance technologies for hip arthroplasty, could be solved by developing a system and method that corrects for deviations between preoperative and intraoperative femoral positioning. It is therefore desirable to have a non-invasive system and method that provides intraoperative guidance and data by correcting for deviations in femoral positioning between preoperative and intraoperative images. SUMMARY OF THE INVENTION An object of the present invention is to quantify restoration of orthopaedic functionality at a surgical site within a patient, even during a surgical procedure. Another object of the present invention is to provide image analysis and feedback information to enable better fracture reduction and/or optimal implant selection during the surgery. Yet another object of the present invention is to capture and preserve a digital record of patient results for data collection and quality improvements in surgical procedures. A still further object of the present invention is to improve the outcome of bone repositioning, fracture repair, and/or fixation within a patient. This invention results from the realization that postoperative change in offset and leg length can be accurately estimated during surgery by overlaying or otherwise comparing preoperative and intraoperative images that have been consistently scaled based on pelvic anatomy, generating consistent femoral landmarks in each image, and calculating the vector difference between femoral landmarks after correcting for possible differences in femoral positioning between the two images relative to the pelvis. This invention features a system to analyze images at a surgical site within a patient, the surgical site including at least one skeletal bone such as a pelvis and at least one articulating bone such as a femur that has a longitudinal axis and articulates with the skeletal bone at a joint. In one embodiment, the system includes an image capture module capable of acquiring (i) at least one reference image including one of a preoperative image of the surgical site and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least an intraoperative image of the site after an implant has been affixed to the articulating bone. A landmark identification module is capable of receiving the reference and intraoperative images and generates at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. An image comparison module is capable of identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image. An analysis module is capable of utilizing differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image to analyze at least one of offset and length differential. In some embodiments, the first and second images are provided by the image capture module to the landmark identification module in a digitized format. In certain embodiments, the analysis module calculates a difference angle between the longitudinal axis of the femur in the reference image relative to the longitudinal axis of the femur in the intraoperative image and then estimates a corrected landmark point, such as a corrected intraoperative landmark point, based on that difference angle. In one embodiment, the analysis module estimates the corrected intraoperative landmark point by calculating a first radius between the estimated center of rotation and the intraoperative landmark and then selecting the corrected intraoperative landmark point at a second radius spaced at the difference angle from the first radius. In certain embodiments, the analysis module calculates length differential by estimating distance from the reference landmark point to the corrected intraoperative landmark point in a direction parallel to the longitudinal axis of the femur in the reference image, and/or calculates offset by estimating distance from the reference landmark point to the corrected intraoperative landmark in a direction perpendicular to the longitudinal axis of the femur in the reference image. In certain embodiments, at least one of the image comparison module, the landmark identification module and the image comparison module identifies at least one stationary point on the skeletal bone in each of the reference image and intraoperative image, and at least one of the image comparison module, the landmark identification module and the image comparison module aligns the reference image and intraoperative image according to at least the stationary point in each image. In one embodiment, aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. In some embodiments, the reference image and the intraoperative image are at least one of aligned and scaled relative to each other prior to the analysis module analyzing offset and length differential. In one embodiment, at least two stationary points are generated on the skeletal bone in the reference image to establish a reference stationary base and at least two stationary points are generated on the skeletal bone in the intraoperative image to establish an intraoperative stationary base, and at least one of the image comparison module, the landmark identification module and the image comparison module utilizes the reference and intraoperative stationary bases to accomplish at least one of image alignment and image scaling. In another embodiment, at least one of the image comparison module, the landmark identification module and the image comparison module provides at least relative scaling of one of the reference and intraoperative images to match the scaling of the other of the reference and intraoperative images. This invention also features a system including a memory, a user interface having a display capable of providing at least visual guidance to a user of the system, and a processor, with the processor executing a program performing the steps of acquiring (i) at least one digitized reference image including one of a preoperative image of a surgical site with skeletal and articulating bones and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one digitized intraoperative image of the site after an implant has been affixed to the articulating bone. The processor receives the reference and intraoperative images and generates at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. The processor identifies (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image. One or more differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image are utilized to analyze at least one of offset and length differential. This invention further features a method including acquiring (i) at least one reference image including one of a preoperative image of a surgical site with skeletal and articulating bones and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one intraoperative image of the site after an implant has been affixed to the articulating bone. The method further includes receiving the reference and intraoperative images and generating at least one reference landmark point on at least one anatomical feature on the articulating bone in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. The method includes identifying (i) an estimation of at least the first center of rotation of the implant in at least one of the reference image and the intraoperative image and (ii) the longitudinal axis of the articulating bone in each of the reference image and intraoperative image. One or more differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image are utilized to analyze at least one of offset and length differential. In some embodiments, aligning includes overlaying one of the reference image and intraoperative image on the other of the reference image and intraoperative image. In certain embodiments, the pelvis of the patient is selected as the skeletal bone and a femur is selected as the articulating bone, and the skeletal component of the implant is an acetabular cup and the articulating bone component includes a femoral stem having a shoulder and pivotally connectable to the acetabular cup to establish the first center of rotation for the implant. The landmark point on the articulating bone is identified to have a known location relative to the greater trochanter on the femur of the patient. BRIEF DESCRIPTION OF THE DRAWINGS In what follows, preferred embodiments of the invention are explained in more detail with reference to the drawings, in which: FIG. 1 is a schematic image of a frontal, X-ray-type view of a pelvic girdle of a patient illustrating various known anatomical features; FIG. 2 is a schematic diagram illustrating how multiple types of user interfaces can be networked via a cloud-based system with data and/or software located on a remote server; FIG. 3 is a Flowchart G showing technique flow for both contralateral and ipsilateral analysis; FIG. 4 is a Flowchart W of several functions performed for hip analysis; FIG. 5 is an image of the right side of a patient's hip prior to an operation and showing a marker placed on the greater trochanter as a landmark or reference point; FIG. 6 is an image similar to FIG. 5 showing a reference line, drawn on (i) the pre-operative, ipsilateral femur or (ii) the contra-lateral femur, to represent the longitudinal axis of the femur; FIG. 7 is an image similar to FIG. 6 with a line drawn across the pelvic bone intersecting selected anatomical features; FIG. 8 is a schematic screen view of two images, the left-hand image representing a pre-operative view similar to FIG. 6 and the right-hand image representing an intra-operative view with a circle placed around the acetabular component of an implant to enable rescaling of that image; FIG. 9 is a schematic screen view similar to FIG. 8 indicating marking of the greater trochanter of the right-hand, intra-operative image as a femoral landmark; FIG. 10 is a schematic screen view similar to FIG. 9 with a reference line drawn on the intra-operative femur in the right-hand view; FIG. 11 is an image similar to FIGS. 7 and 10 with a line drawn across the obturator foramen in both pre- and intra-operative views; FIG. 12 is an overlay image showing the right-hand, intra-operative image of FIG. 11 superimposed and aligned with the left-hand, pre-operative image; FIG. 13 is an image similar to FIG. 11 with points marking the lowest point on the ischial tuberosity and points marking the obturator foramen and top of the pubic symphysis in both pre- and intra-operative views; FIG. 14 is an overlay image showing the right-hand, intra-operative image of FIG. 13 superimposed and aligned with the left-hand, pre-operative image utilizing triangular stable bases; FIG. 15 is a schematic combined block diagram and flow chart of an identification guidance module utilized according to aspects of the present invention; FIG. 16 is an image of a trial implant in a hip with the acetabular component transacted by a stationary base line and with two error analysis triangles; FIG. 17 is a flowchart showing the use of an ‘Image Overlay’ technique to calculate a postoperative change in offset and leg length according to an aspect of the present invention; FIG. 18 is a schematic diagram of an Image Analysis System according to the present invention; FIG. 19 is a schematic screen view of a preoperative image and an intraoperative image positioned side by side with digital annotations marking anatomic landmarks and stationary points on the images; FIG. 20 is a schematic screen view of the preoperative image and intraoperative image of FIG. 19 overlaid according to pelvic anatomy with generated femoral landmark points and error analysis according to another aspect of the present invention; FIG. 21 is a schematic diagram showing generation of a corrected landmark point and analysis of offset and length differential according to the present invention; FIG. 22 is a schematic screen view of a preoperative image and an intraoperative image positioned side by side with a grid and digital annotations to mark anatomic landmarks and other features on the images according to certain aspects of the present invention; and FIG. 23 is a schematic view similar to FIG. 22 after the preoperative image has been aligned with the intraoperative image. DETAILED DESCRIPTION OF THE PRESENTLY PREFERRED EMBODIMENTS This invention may be accomplished by a system and/or method that acquire (i) at least one reference image including one of a preoperative image of a surgical site with skeletal and articulating bones and a contralateral image on an opposite side of the patient from the surgical site, and (ii) at least one intraoperative image of the site after an implant has been affixed to the articulating bone. The reference and intraoperative images are received and at least one reference landmark point is generated on at least one anatomical feature on the articulating bone, such as on the greater trochanter of a femur, in the reference image and at least one intraoperative landmark point on that anatomical feature in the intraoperative image. At least the first center of rotation of the implant is estimated in at least one of the reference image and the intraoperative image, and the longitudinal axis of the articulating bone is identified in each of the reference image and intraoperative image. One or more differences between the orientation of the articulating bone in the reference image relative to the orientation of the articulating bone in the intraoperative image are utilized to analyze at least one of offset and length differential. Broadly, some techniques according to the present invention, referred to by the present inventors as “Image Overlay”, place one image over another image during analysis to generate a combined overlapped image. Previous approaches for the ‘Image Overlay’ technique made use of a pelvic reference line having two or more points to scale and align a preoperative image and an intraoperative image. The pelvic reference line having two or more points is also referred to as a “stationary base” as defined in U.S. patent application Ser. No. 14/630,300 filed 24 Feb. 2015, sometimes referred to herein as “parent application”, now US Publication No. 2015/0238271. Alternative approaches for ‘Image Overlay’ technique according to the present invention obviate the need for the pelvic reference line or other stationary base. In some constructions, these alternatives instead rely upon certain image acquisition techniques, certain image manipulation techniques, certain known imaging information, and/or direct user manipulation to create consistent scale and alignment between (i) at least one of a preoperative image and an inverted contralateral image and (ii) an intraoperative image. Additionally, any change in positioning of the femur in the two images, relative to the pelvis, would adversely affect calculations in previous approaches of this technique. Maintaining femoral position while performing hip arthroplasty can pose a significant and often unrealistic challenge to a surgeon that is focused on performing a surgical procedure. Various approaches for the ‘Image Overlay’ technique according to the present invention can correct for deviations in femoral positioning between preoperative and intraoperative images by mathematically correcting for any deviation in femoral position in at least one of the visual output and calculation output of offset and leg length. Presently preferred techniques, both with and without image overlay, are described in more detail below in relation to FIGS. 17-23. In general, accurate analysis of two images of a patient is directly related not only to how similar the two images are, but also how similarly the images are aligned with respect to scale and alignment, including rotation, and translation. Using conventional techniques, a user would have to manually adjust the images and/or retake multiple images to achieve this goal, something that would be difficult to do reliably and accurately. Utilizing two or more points as a stationary base according to the present invention in each image enables accurate analysis of the two images. Furthermore, the present Image Overlay technique can analyze how “similar” these images are to give the user feedback as to how accurate the results are, that is, to provide a confidence interval. To obtain useful information, the images (the “intraop” intra-operative image and a “preop” pre-operative image, for example) preferably are scaled similarly and rotated similarly, at least relative to each other. For some constructions of image analysis according to the present invention, preferably at least one stationary base and at least one anatomical landmark are selected, at least for scaling and alignment of the images. The term “stationary base”, also referred to herein as a “stable base”, means a collection of two or more points, which may be depicted as a line or other geometric shape, drawn on each of two or more images that includes at least one anatomical feature that is present in the two or more images of a region of a patient. For example, different images of a pelvic girdle PG of a patient, FIG. 1, typically show one or both obturator foramen OF and a central pubic symphysis PS, which the present inventors have recognized as suitable reference points or features for use as part of a stationary base according to the present invention. Other useful anatomical features, especially to serve as landmarks utilized according to the present invention, include femoral neck FN and lesser trochanter LT, shown on right femur FR, and femoral head FH and greater trochanter GT shown on left femur FL, for example. Femoral head FH engages the left acetabulum of the pelvic girdle PG. Also shown in FIG. 1 are ischial tuberosities IT at the bottom of the ischium, a “tear drop” TD relating to a bony ridge along the floor of the acetabular fossa, and the anterior superior iliac spine ASIS and the anterior inferior iliac spine AIIS of the ileum. In general, a longer stationary base is preferred over a shorter stationary base, because the longer base, especially if it is a line, will contain more pixels in images thereof and will increase accuracy of overlays and scaling according to the present invention. However, the further the stationary base is from the area of anatomical interest, the greater the risk of parallax-induced error. For example, if the area of interest is the hip joint, then the ideal stationary base will be near the hip. In some procedures involving hip surgery, for example, a stationary base line begins at the pubic symphysis PS, touches or intersects at least a portion of an obturator foramen OF, and extends to (i) the “tear drop” TD, or (ii) the anterior interior iliac spine AIIS. Of course, only two points are needed to define a line, so only two reliable anatomical features, or two locations on a single anatomical feature, are needed to establish a stationary base utilized according to the present invention. More complex, non-linear stationary bases may utilize additional identifiable points to establish such non-linear bases. Additionally, at least one identifiable anatomic “landmark”, “stationary point” or “error point”, or a set of landmarks stationary points or error points, is selected to be separate from the stationary base; the one or more landmarks, stationary points or error points are utilized in certain constructions to analyze the accuracy of the overlay process. This additional anatomic feature preferably is part of the stationary anatomy being anatomically compared. For example, the inferior portion of the ischial tuberosity IT can be identified as an additional stationary point or error point. This anatomic feature, in conjunction with the stationary base, will depict any differences or errors in pelvic anatomy or the overlay which will enable the physician to validate, or to have more confidence in, the output of the present system. As generally utilized herein: (i) a “stationary point” refers to a point on a relatively stationary bone such as on the pelvis; (ii) a “landmark point” is located on an articulating bone such as a femur; (iii) an “error point” is preferably on pelvis and spaced from other points; and (iv) a “fixed point” is located on an implant, such as the shoulder of a femoral stem prosthesis. The term “trial hip prosthetic” is utilized herein to designate an initial implant selected by a surgeon as a first medical device to insert at the surgical site, which is either the right side or the left side of a patient's hip in certain constructions. In some techniques, the trial prosthetic is selected based on initial digital templating similar to the procedure described the parent application. The term “digital representation” or “digital annotation” as utilized herein includes a digital line having at least two points, e.g. a line representing a longitudinal axis or a diameter of an implant or a bone, or a digital circle or other geometric shape which can be aligned with an implant or a bone intraoperatively and then placed in a corresponding location in a preoperative image, or visa versa. FIGS. 2-16 herein correspond to FIGS. 4B, 7-16, 52-54 and 70, respectively, in the parent application. FIG. 2 herein is a schematic diagram of system 141 according to the present invention illustrating how multiple types of user interfaces in mobile computing devices 143, 145, 147 and 149, as well as laptop 151 and personal computer 153, can be networked via a cloud 109 with a remote server 155 connected through web services. Data and/or software typically are located on the server 155 and/or storage media 157. Software to accomplish the techniques described herein is located on a single computing device in some constructions and, in other constructions such as system 141, FIG. 2, is distributed among a server 155 and one or more user interface devices which are preferably portable or mobile. In some techniques a digitized X-ray image of the hip region of a patient along a frontal or anterior-to-posterior viewing angle is utilized for a screen view on a display and, in other techniques, a digital photograph “secondary” image of a “primary” X-ray image of the hip region of a patient along a frontal or anterior-to-posterior viewing angle is utilized for the screen view. In one construction, the screen view is shown on a computer monitor and, in another construction, is shown on the screen or viewing region of a tablet or other mobile computing device. Flowchart G, FIG. 3, shows technique flow for both contralateral and ipsilateral analysis. This technique is commenced, step 340, and either contralateral or ipsilateral analysis is selected, step 342. For contralateral analysis, the contralateral hip image is captured, step 344, and the image is flipped, step 346. For ipsilateral analysis, the preoperative ipsilateral hip image is opened, step 348. For both types of analysis, Flowchart W is applied, step 350. Flowchart W, FIG. 4, after being activated by step 350, FIG. 3, guides a user to identify a femoral landmark such as the greater trochanter in step 370, FIG. 4, and then the femoral axis is identified, step 372, which corresponds to the longitudinal axis of the femur in that image. These steps are illustrated in FIGS. 5 and 6, below. A line is then drawn across the bony pelvis, step 374, as shown in FIG. 7. The technique proceeds to capturing an operative hip image, step 352, FIG. 3, and identifying an acetabular component, step 354, such as shown in FIG. 8 below. Acetabular components are also shown in and discussed relative to FIGS. 9 and 10 below. The image is scaled by entering the size of the acetabular component, step 356, and Flowchart W, FIG. 4, is then applied to the operative hip, step 358. The operative and comparative hip images are scaled by a stationary base generated by selecting at least two reference points on the bony pelvis, step 360, such as shown in FIG. 11. The scaled images are then overlaid in step 362 using the bony pelvis points, such as the overlaid lines 386 and 412 shown in FIG. 12. Differences in offset and leg length are calculated, step 364, and the technique is terminated, step 366. One currently preferred implementation of the JointPoint IntraOp™ Anterior system, which provides the basis for intraoperative analysis of the anterior approach to hip surgery, is illustrated in relation to FIGS. 9-22 in the parent application; FIGS. 9-16 are described herein as FIGS. 5-12. FIG. 5 herein is an image 376 of the right side of a patient's hip prior to an operation and showing a marker 378, bracketed by reference squares 377 and 379, placed by a user as guided by the system, or placed automatically via image recognition, on the greater trochanter as a landmark or reference point. FIG. 6 is an image 376′ similar to FIG. 5 showing a reference line 380, bracketed by reference squares 381, 382, 383 and 384, drawn on (i) the pre-operative, ipsilateral femur or (ii) the contra-lateral femur, to represent the longitudinal axis of the femur. FIG. 7 is an image 376″ similar to FIG. 6 with a line 386, defined by two end-points, which is drawn across the pelvic bone intersecting selected anatomical features. FIG. 8 is a schematic screen view of two images, the left-hand image 376′ representing a pre-operative view similar to FIG. 6 and the right-hand image 390 representing an intra-operative view with a circle 392 placed around the acetabular component 394 of an implant 398 to enable rescaling of that image. In some constructions, circle 392 is placed by an image recognition program and then manually adjusted by a user as desired. Reference square 398 designates implant 398 to the user. FIG. 9 is a schematic screen view similar to FIG. 8 indicating marking of the greater trochanter of the right-hand, intra-operative image 390′ as a femoral landmark 400, guided by reference squares 402 and 404. FIG. 10 is a schematic screen view similar to FIG. 9 with a reference line 406 drawn on the intra-operative femur in the right-hand view 390″, guided by reference squares 407, 408, 409 and 410. FIG. 11 is an image similar to FIGS. 7 and 10 with a line 386, 412 drawn across the obturator foremen in both pre- and intra-operative views 376″ and 390′″, respectively. Reference squares 413, 414, 415 and 416 guide the user while drawing reference line 412. FIG. 12 is an overlay image showing the right-hand, intra-operative, PostOp image 390′″ of FIG. 11 superimposed and aligned with the left-hand, pre-operative PreOp image 376″. In this construction, soft button icons for selectively changing PreOp image 376″ and/or PostOp image 390′″ are provided at the lower left-hand portion of the screen. Note that “PostOp” as utilized herein typically indicates post-insertion of a trial prosthesis during the surgical procedure, and is preferably intra-operative. The PostOp image can also be taken and analysis conducted after a “final” prosthesis is implanted. “PreOp” designates an image preferably taken before any surgical incision is made at the surgical site. In some situations, the image is taken at an earlier time, such as a prior visit to the medical facility and, in other situations, especially in emergency rooms and other critical care situations, the “PreOp” image is taken at the beginning of the surgical procedure. A ball marker BM, FIG. 5, is shown but not utilized for alignment because ball markers can move relative to the patient's anatomy. Further PreOp and PostOp icons are provided in certain screen views to adjust viewing features such as contrast and transparency. Preferably, at least one icon enables rotation in one construction and, in another construction, “swaps” the images so that the underlying image becomes the overlying image, as discussed in more detail below. Additional icons and reference elements are provided in some constructions, such as described in the parent application. One or more of these “virtual” items can be removed or added to a screen view by a user as desired by highlighting, touching or clicking the “soft keys” or “soft buttons” represented by the icons. In certain embodiments, one or more of the icons serves as a toggle to provide “on-off” activation or de-activation of that feature. Characters or other indicia can be utilized to designate image number and other identifying information. Other useful information can be shown such as Abduction Angle, Offset Changes and Leg Length Changes, as discussed in more detail below. Optional user adjustment can be made by touching movement control icon 527, FIG. 12, also referred to as a “rotation handle”. In certain constructions, image recognition capabilities provide “automatic”, system-generated matching and alignment, with a reduced need for user input. Currently utilized image recognition provides automatic detection of selected items including: the spherical ball marker frequently utilized in preoperative digital templating; the acetabular cup in digital templates and in trial prosthetics; and the Cobb Angle line, also referred to as abduction angle. In another construction, more than two points are generated for the stationary base for each image, such as illustrated in FIG. 13 for a preoperative image 1200 and a postoperative image 1201, and in FIG. 14 for a combined overlay image 1298 of the preoperative image 1200 and the postoperative image 1201 of FIG. 13. Similar locations on the pelvis in each image are selected to generate the points utilized to establish a stationary base for each image. In image 1200, for example, a first point 1202 is generated on an upper corner of the obturator foramen or at the pelvic tear drop, a second point 1204 is generated at the top or superior portion of the pubic symphysis, and a third point 1206 is generated at the lowest or inferior point on the ischial tuberosity. Lines 1208, 1210 and 1212 are drawn connecting those points to generate a visible stationary base triangle 1216 on image 1200. Also shown is a point 1214 on the greater trochanter. In postoperative image 1201, first and second points 1203 and 1205 correspond with first and second points 1202 and 1204 in image 1200. A third point 1207 is shown in image 1201 between reference squares 1209 and 1211 in the process of a user selecting the lowest point on the ischial tuberosity to correspond with third point 1206 in image 1200. The user is prompted by “Mark lowest point on Ischial Tuberosity” in the upper portion of image 1201. Also shown is a circle 1213 around the acetabular component and a point 1215 on the greater trochanter. Establishing at least three points is especially useful for determining rotational differences between images. Overlay image 1298, FIG. 14, shows the three points 1202, 1204 and 1206 of preop image 1200, forming the visible preop stationary base triangle 1216, which is positioned relative to the corresponding three points 1203, 1205 and 1207 of postop image 1201, forming a visible postop stationary base triangle 1311 overlaid relative to triangle 1216 in FIG. 14. A ‘best fit overlay’ can be created using these points by identifying the centroid of the polygon created by these point, and rotating the set of point relative to one another to minimize the summation of distance between each of the related points. In this construction, scaling of the two images may be performed by these same set of points or, alternatively, a separate set of two or more points may be utilized to scale the two images relative to each other. Clicking on a PreOp soft-button icon 1300 and a PostOp icon 1301 enable a user to alter positioning of images 1200 and 1201, respectively, within image 1298 in a toggle-switch-type manner to selectively activate or de-activate manipulation of the selected feature. One or more points of a stationary base may be shared with points establishing a scaling line. Preferably, at least one landmark is selected that is spaced from the stationary base points to increase accuracy of overlaying and/or comparing images. Also illustrated in FIG. 14 are “Offset and Leg Length Changes” with “Leg Length: −0.2 mm”, “Offset: 21.8 mm” and “Confidence Score: 8.1”. A confidence ratio that describes the quality of fit can be created by comparing the overlay area of the two triangles relative to the size of the overall polygon formed by the two triangles, including the non-overlapping areas of each triangle. Abduction angle and anteversion calculations are described in the parent application in relation to FIGS. 55-59. Alternative constructions may alternatively apply absolute scaling to the preoperative and intraoperative images directly in each image, and without the need for a stationary base. For example, each image may be scaled by a ball marker or other scaling device, known magnification ratios of a radiographic device, or direct measurements of anatomical points (such as a direct measurement, via callipers, of the extracted femoral head, which can be used to scale the preoperative image). Alternative constructions may also replace the ‘stationary base’ with various other techniques that could be used to scale and align the preoperative and intraoperative images relative to one another. One example of such a construction would involve overlaying two images and displaying them with some transparency so that they could both be viewed on top of one another. The user would then be prompted to rotate and change their sizing, so that the pelvic anatomy in the two images were overlaid as closely as possible. In some constructions, a guidance system is provided to adjust the viewing area of one image on a screen to track actions made by a user to another image on the screen, such as to focus or zoom in on selected landmarks in each image. This feature is also referred to as an automatic ‘centering’ function: as a user moves a cursor to ‘mark’ a feature on one image, such as placing a point for a landmark or a stationary base on an intraoperative image, the other image on the screen is centered by the system to focus on identical points of interest so that both images on the screen are focused on the same anatomical site. FIG. 15 is a schematic combined block diagram and flow chart of an identification guidance module 1400 utilized in one construction to assist a user to select landmarks when comparing a post- or intra-operative results image, box 1402, with a reference image, box 1404. The module is initiated with a Start 1401 and terminates with an End 1418. When a visual landmark is added to a post-operative image, box 1406, the module 1400 locates all landmarks “l” on the pre-operative reference image, box 1408, and calculates the visible area “v” within the pre-operative image in which to scale, such as by using Equation 1: v=[maxx(l)−minx(l), maxy(l)−miny(l)] EQ. 1 The identical landmark on the pre-operative image is located and its center-point “c” is determined, box 1410. The identical landmark on the pre-operative image is highlighted in one construction to increase its visual distinctiveness, box 1414. The pre-operative image is centered, box 1410, and scaled, box 1412, such as by utilizing the following Equations 2 and 3, respectively: Center=c−(v)(0.5) EQ. 2 Scale=i/v EQ. 3 The user manipulates one or more visual landmarks in the results image, box 1416, as desired and/or as appropriate. In some constructions, the user manually ends the guidance activities, box 1418 and, in other constructions, the system automatically discontinues the guidance algorithm. In certain constructions, image recognition capabilities provide “automatic”, system-generated matching and alignment, with a reduced need for user input. Currently utilized image recognition provides automatic detection of selected items including: the spherical ball marker frequently utilized in preoperative digital templating; the acetabular cup in digital templates and in trial prosthetics; and the Cobb Angle line, also referred to as abduction angle. FIG. 16 is an overlay image 2000 of a preoperative hip image 2001 and an intraoperative hip image 2003 having a trial implant 2002 in a hip with the acetabular component 2004 transacted by stationary base lines 2006 and 2007 extending between a first point 2008 on the obturator foramen OF and a second point 2010 on the anterior inferior iliac spine AIIS of the ileum. Also shown are two error analysis triangles 2020 (solid lines) and 2030 (dashed lines). Circles 2022 and 2032 in this construction represent a landmark point on the greater trochanter in images 2001 and 2003, respectively. Image 2000 is a representation of preoperative and intraoperative hip images 2001 and 2003 overlaid according to stationary base lines 2006 and 2007, respectively. Three identical pelvic points 2024, 2026, 2028 and 2034, 2036, 2038 in images 2001 and 2003, respectively, have been identified, with a system such as system 200, FIGS. 4C-4F in the parent application, generating triangles 2020 and 2030 for each image as represented by FIG. 16. The triangles 2020 and 2030 can be visually compared to analyze the error in the anatomic area containing the stationary bases which, in this case, is the pelvis. A numerical confidence score or other normalized numeric error analysis value may also be calculated and displayed in the system by calculating the distance between points, comparing them to the length of the triangle vectors, and then normalizing the data, possibly using a log or other such nonlinear algorithm. The visual display and/or numerical confidence score provides efficacy analysis in the construction. In other words, error analysis and correction is provided in some constructions for at least one image, such as providing a confidence score or other normalized numeric error analysis, and/or a visual representation of at least one error value or error factor, such as relative alignment of one or more geometric shapes, e.g. triangles, or symbols in two or more images. In some constructions of the various alternative systems and techniques according to the present invention, visual and/or audible user instructions are sequentially generated by the system to guide the user such as “Draw line along Pubic Symphysis”. Guidance for surgery utilizing other types of implants, and for other surgical procedures, including partial or total knee or shoulder replacements and foot surgery as well as wrist surgery, will occur to those skilled in the art after reading this disclosure. Also, other types of medical imaging using energy other than visible light, such as ultrasound, may be utilized according to the present invention instead of actual X-rays. Moreover, if a computer interface tool, such as a stylus or light pen, is provided to the user in a sterile condition, than the user can remain within a sterile field of surgery while operating a computing device programmed according to the present invention. The term “vector” is utilised herein with the standard meaning of an Euclidean vector having an initial point or “origin” and a terminal point, representing magnitude and direction between the origin and the terminal point. The system then positions an acetabular component template or representative digital annotation, such as a digital line or digital circle, in the preop image by replicating this vector. Hip- and femur-related constructions of the present system and method calculate intraoperative changes in offset and leg length using a reference image, also referred to as a “preop image”, and an intraoperative image, also referred to as a “postop image” or an “intraop image”. To accomplish this, one construction of the system requires two consistently scaled images that are overlaid and aligned according to the stationary anatomic region (such as the pelvis), the generation of at least one landmark point on the non-stationary, articulating anatomic region (such as the femur) in both images, a mechanism to identify the difference in femoral angle of the femur relative to the pelvis between the images, a mathematical correction module that adjusts for differences in the articulating femur in each image relative to the stationary pelvis and, finally, a calculation module that uses this input to calculate intraoperative changes in offset and leg length. As utilized herein, the term “femoral angle” refers to the orientation of the longitudinal axis of the femur relative to the pelvis; a “difference in femoral angle” is described in more detail below in relation to FIG. 21. The system may optionally include an error analysis module that identifies and analyses potential error in the system. As described in more detail below in relation to FIGS. 17-23, an ‘Image Overlay’ process according to the present invention begins in some constructions by acquiring (i) at least one of a preoperative ipsilateral or an inverted contralateral image (“preop image” or “reference image”), and (ii) an intraoperative image (“intraop image”). The system generates at least one landmark point on the non-stationary femur in both images (such as identification of a consistent point on the greater trochanter in both images), generally performed with user guidance. Optionally, the system will generate at least one error point on the pelvis in both images to provide error analysis. If the images have not been previously scaled and aligned, the system will scale and align them using one of a plurality of techniques. One of the images is then overlaid according to the pelvic anatomy in both images. In some constructions, the system identifies points that can be used to analyze possible error in the images relative to each other. The system additionally performs a series of steps to calculate any deviation in alignment of the non-stationary femur relative to the pelvic anatomy between the preop and intraop images. The system then creates an overlay of the preop and intraop image, taking into consideration and correcting for the effect of any difference in femoral angles between the two images as the system compares the relative position of the generated femoral landmark points. Finally, the system analyses the difference between the landmark points, including a correction for femoral alignment differences, and uses this data to calculate intraoperative change in offset and leg length. In one construction, the process begins in the flowchart OA in FIG. 17 by acquiring, step 3000, either a selected preoperative ipsilateral image, or a selected inverted contralateral image. Whichever image is selected is referred to herein as a “first, reference image” or “preop image”. The process continues with acquisition of the intraop hip image, step 3002. Image acquisition in steps 3000 and 3002 is performed by the Image Capture module 3030, also referred to as an Image Selection Module, of overlay analysis system 3028, FIG. 18. Acquisition of these images can be performed in a variety of ways, such as a direct connection to a c-arm fluoroscopy unit, file upload, or similar techniques. Implementations that operate on a mobile device such as an iPad, or other platforms that similarly integrate a camera device, may also acquire the images in steps 3000 and 3002 by prompting the user to take a picture of the images using the device camera. If an inverted contralateral image is used as a ‘preop’ image, the contralateral image may be acquired and then inverted within the software, or otherwise it may be flipped in another system and then input to image capture module 3030. Screen view 3050, FIG. 19, shows preoperative image 3052 and intraoperative image 3070, referred to by labels 3053 and 3071 as “PreOp” and PostOp” images, respectively. The method continues in step 3004, FIG. 17, with Landmark Identification Module 3034, FIG. 18, identifying at least one point on the femoral anatomy in both the preop and intraop images. Landmark Identification Module 3038 and Calculation Module 3040 can be considered as components of an Analysis Module 3037, shown in dashed lines. In a preferred construction, a point in each image will be placed on the greater trochanter, a particularly useful landmark point because it is easily identifiable and because the anatomy is relatively insensitive to deviations in image acquisition. Alternatively, the point may be placed on the lesser trochanter or another identifiable femoral landmark. However, consistent point placement on the lesser trochanter is more susceptible to error originating from deviations in image acquisition angle based on its 3-dimensional anatomy. In various constructions, the user is either prompted to identify the point on the femoral anatomy, or otherwise the system auto-identifies the point or set of points using image recognition or other technology and then allows the user to modify the point placement. FIG. 5, described above, is an image 376 of the right side of a patient's hip prior to an operation and showing a marker 378, bracketed by reference squares 377 and 379, placed by a user as guided by the system, or placed automatically via image recognition, on the greater trochanter as a landmark or reference point, such as indicated in Landmark Identification Module 3034, FIG. 18. Reference squares 377 and 379 enable the user to position the marker 378 on touch-screen devices, such as an iPad, without the user's fingers obscuring the position of the marker 378. In a similar manner, reference landmark point 3054 and intraoperative landmark point 3074, FIG. 19, are placed on the greater trochanter of the femur Fp in PreOp image 3052 and of femur Fi in PostOp image 3070, respectively. Also shown in PreOp image 3052 are a femoral axis line 3055 and a pelvic reference line 3056, tear drop point 3056, pubic symphysis point 3058, and ischial tuberosity point 3059. Further shown in PostOp image 3070, FIG. 19, are acetabular cup AC and femoral stem FS of an implant I, a femoral axis line 3075 and a pelvic reference line 3076, tear drop point 3076, pubic symphysis point 3078, and ischial tuberosity point 3079. A circle 3080 has been drawn around acetabular cup AC as described in more detail below. In step 3006, FIG. 17, the Landmark Identification Module 3034, FIG. 18 asks via User Interface UI, shown in phantom as box 3035, whether the user wants to include error analysis in the system output. If yes, Module 3034 prompts the user, in Step 3008, to identify a set of anatomic points on the stationary pelvis in both the preop and intraop images. While a minimum of only one point is required to provide error analysis in the system, the system preferably generates at least three points on the pelvis, such as points 3057, 3058 and 3059 in PreOp image 3052, FIG. 19, and points 3077, 3078 and 3079 in PostOp image 3070. The user positions each point on the pelvis in some constructions but, in preferred constructions, automated algorithms of a system according to the present invention initially place the points in appropriate positions on the pelvic anatomy. If pelvic reference lines, as described in more detail below, are used to align and scale the preop and intraop images, the points selected for error analysis should be independent of the points used to create the pelvic reference lines. Ideal points will also be identifiable, such as a discernible point on the pelvic teardrop, ischial tuberosity and pubic symphysis. In Step 3010, the Landmark Identification Module 3034, FIG. 18, identifies the approximate femoral center of rotation in the intraop image; this center of rotation information assists correction for deviations in femoral positioning between the preop and intraop images. In a preferred construction, Landmark Construction Module 3034 identifies this point by placing a digital circle so that it overlays the boundary of the acetabular component, as shown by digital circle 392 in FIG. 9 and by circle 3080 in FIG. 19. The system then identifies the midpoint of the circle, which approximates the center of rotation of the acetabular component and functions as the intraoperative femoral center of rotation. Various constructions will accomplish step 3010 in different ways. In a preferred construction, the system may auto-detect the location of the digital circle by using image recognition to auto-detect the acetabular component in the intraoperative image, and then allow the user, via User Interface UI, box 3035, to adjust the size and position of the digital circle using navigation handles connected to the circle, such as navigation handle 527, FIG. 12, and by navigation handle 3099, FIG. 20. In another construction, the user estimates the approximate center of rotation by drawing or positioning a circle around the femoral head in the preoperative image, and utilizing the center of that circle as an estimate of the center of rotation. As shown in FIG. 19, the PreOp image 3052 shows three error points 3057, 3058 and 3059 positioned on the base of the pelvic teardrop, the superior point on the pubic symphysis, and the inferior point on the ischial tuberosity, respectively. Similarly, points 3077, 3078 and 3079 are positioned on corresponding points in PostOp image 3070. These corresponding points will be used for error analysis in constructions that include error analysis as part of the system. Digital circle 3080 has been positioned around the acetabular cup AC of implant I, with a center-point represented by the crosshair 3081 that identifies the midpoint of the circle. This midpoint identifies the approximate femoral center of rotation after implant insertion. In Step 3012, FIG. 17, the system begins the process of analysing the difference in the femoral axis angles, relative to the pelvis, between the preop and intraop images. In a preferred construction, the system accomplishes this by generating digital lines to identify the longitudinal axis of the femurs in both images, such as femoral axis lines 3055 and 3075, FIG. 19, and calculating any angle difference between them as described in more detail below in relation to FIG. 21. Landmark Identification Module 3034, FIG. 18 guides the user to generate a line that identifies the longitudinal axis of the femur in both the preop and intraop images. First, the system generates a digital line in the preop image to identify the femoral axis, and the system provides the ability to adjust the line location so that it can identify the angle of the femur in the preop image. Then, the system generates a digital line in the intraop image to identify the femoral axis in the intraop image, again allowing for user adjustment. Preferred constructions of this system will attempt to auto-identify the femoral axis in this step using image recognition and known data, and place the digital lines accordingly. The system then provides the functionality for the user to further manipulate these lines. FIG. 6, described above, is an image 376′ similar to FIG. 5 showing a reference line 380, bracketed by reference squares 381, 382, 383 and 384, drawn on the preop image to represent the longitudinal axis of the femur. Reference lines 381, 382, 383 and 384 can be manipulated to reposition the femoral axis line. FIG. 10, described above, is a schematic screen view with a reference line 406 drawn on the intra-operative femur in the right-hand view 390″, guided by reference squares 407, 408, 409 and 410. Reference lines 407, 408, 409 and 410 can be manipulated to reposition the femoral axis line. FIG. 19 again shows the positioned digital lines 3055 and 3075, placed in Step 3012, FIG. 17, that identify the femoral axis in the PreOp and PostOp images 3052 and 3070. In step 3014, FIG. 17, the Image Capture Module 3030, FIG. 18 determines whether the preop and intraop images have been pre-scaled and aligned according to pelvic anatomy. Consistent scaling and alignment may be previously performed in this construction using a variety of approaches. For example, a software system residing on a digital fluoroscopy system may have been used to align and scale the images prior to image acquisition by this system. Alternatively, the images may already be scaled and aligned because the surgeon took images with the patient and radiographic system in identical position with a known magnification ratio. If the images have not been either scaled or aligned, the system can scale, or align, or scale and align the images in optional step 3016. Consistent scale and alignment in this step is accomplished by the optional Image Scaling and Alignment Module 3032, FIG. 18, shown in dashed lines, which may accomplish these operations in various ways. One method to accomplish consistent scaling and alignment is by using stationary bases (i.e. pelvic reference lines), along with identification and scaling of the acetabular cup in the intraop image, as visually illustrated in FIG. 11. In this approach, a line is drawn connecting two identical landmarks on the pelvis in both the preop and intraop images. Stationary base line 386 in FIG. 15 connects, in the preop image, a point on the anterior superior iliac spine to the inferior point on the pubic symphysis. Stationary base line 412 in FIG. 11 connects the identical two pelvic landmarks in the intraop image. The system can use these two lines to rotate the images so that the overlay lines are aligned at the same angle relative to the software screen. The images can additionally be scaled, relative to one another, by scaling one image relative to another so that the pixel distances between the stationary base lines in the two images are equivalent. Finally, absolute scaling of the images can be achieved by scaling at least one image according to an object of known dimension. FIG. 8 depicts the digital circle 392 that has been generated around acetabular component 394. The digital circle may be either generated using image recognition to identify the acetabular component, positioned by the user, or initially system-generated in an approximate location and then positioned by the user. The size of this component is known because the surgeon has placed it in the patient's femur. Therefore, the known size of the component, such as “50” mm, can be entered into the box following text “Size of Acetabular Component” located at the top of the intraop screen 390. The system uses this information to generate absolute scaling in the intraop image. Additionally, the preop image can be scaled in absolute measurements, according to this generated circle, once the preop image is scaled so that the pelvic reference lines in both images are of equivalent length in pixels. FIG. 19 depicts the pelvic reference lines 3056 and 3076 that have been generated on identical points on the preop and intraop images 3052 and 3070 of the pelvis, allowing the system to align and scale the images according to the input. Alternative constructions may apply absolute scaling to other objects of known size in either the preop or intraop image. For example, scaling can be applied according to the preop image by drawing a digital line across diameter of the femoral head in the preop image, and entering the size in absolute terms. This absolute measurement is known during surgery because the surgeon traditionally extracts the femoral head and measures its size, using calipers, during hip arthroplasty. The output of the scaling and alignment performed in step 3016, FIG. 17, is used to generate an overlay in step 3018, and therefore may be represented visually by depicting the updated scaling and alignment visually on the software screen, or otherwise may exclusively be calculated by the system to create the overlay in step 3018. In this construction of Step 3018, the Image Comparison Module 3036, FIG. 18 superimposes the preop and intraop images by aligning pelvic anatomy, with the images displayed with some transparency so that both can be visualized in the overlay, such as illustrated in FIG. 20. In a preferred construction the overlaid images will contain the identified femoral landmarks (generally placed on the greater trochanter) generated in step 3008 so that location differences between the two points can be visualized. The system will maintain the location of the generated greater trochanter points and the femoral axis lines, relative to the preop and intraop images, as the images are manipulated to create the image overlay. The Image Comparison Module 3036 can align the images according to pelvic anatomy in a variety of ways in this step. In a preferred construction, the system will have previously guided the user in identifying at least two consistent points on the pelvic anatomy in both images. The Image Comparison Module 3036 then superimposes the images so that the stationary base lines are positioned identically. In other words, the images are scaled, aligned and superimposed according to the stationary bases drawn across consistent points on the pelvis in each image. The Image Comparison Module will move and scale all digital annotations in tandem with the underlying image so that they remain affixed to the underlying image. This includes positioning of the femoral and pelvic landmark annotations, the identified center of rotation of the femur, pelvic reference lines, the femoral axis lines, and any other annotations used in various constructions. Alternative constructions obviate the need for the use of the pelvic reference lines. In one alternative construction, the system uses image recognition technique to auto-identify the pelvic anatomy and overlay the images based on the image recognition, then the user is presented with the option to manually manipulate the resulting overlay. In another alternative, the user will be guided to manually position the images so that the pelvic anatomy matches. The system in this method will provide the user with the ability to manipulate both the position of each of the images as well as adjust the magnification so that the pelvic anatomy can be superimposed on the overlay. Alternative systems will rely on hardware implementations and stationary cameras to obviate the need for a digital line, image recognition, or user manipulation whatsoever to create the overlay. In these instances, the external system may provide a known magnification ratio and the consistent patient positioning that would be required to create the image overlay without the use of pelvic reference lines or similar technique. Differences between the preop and intraop positioning of the femur, relative to the pelvis, creates a challenge in comparing the relative location of a femoral landmark such as a greater trochanter because a change in leg position alters the vector between the two femoral landmarks in the overlay. In Step 3020, FIG. 17, the Landmark Correction Module 3038, FIG. 18 calculates any existing difference between the preop and intraop femoral axis angles. The terms “femoral angle” and “femoral axis angle” refer to the orientation of the longitudinal axis of the femur. If, for example, the preop and intraop femoral axis lines generated in step 3012 vary by eight degrees, the difference calculated in step 3020 will be eight degrees. In Step 3022, FIG. 17, Landmark Correction Module 3038, FIG. 18 uses data gathered in previous steps to generate an additional “corrected” or “phantom” landmark point that accounts for differences in femoral position between the preop and intraop images. A corrected landmark point 3082 is shown in FIG. 20, positioned along circle 3083 from intraoperative landmark point 3074′, which is similar to corrected landmark point 3116, FIG. 21, along circle 3124 as described in more detail below. To generate the corrected landmark point, the module first calculates anglefemur, which is the angular difference between the longitudinal axes of the femur in the preoperative and intraoperative images, respectively, also referred to as the preop and intraop femoral axis lines in the overlay. This technique is shown schematically in FIG. 21 for angle α, arrow 3108, between longitudinal axis lines 3104 (“L1”) and 3106 (“L2”). The system incorporates this with the femoral or acetabular center of rotation 3102 (“R1”), (Xorigin, Yorigin) in the intraop image, previously identified in step 3010, FIG. 17, and the greater trochanter point 3110 (“p1”), (Xtroch, Ytroch) in the intraop image. The system uses the following formulas to calculate the corrected landmark “phantom” point 3116 (“p3”), (Xphantom, Yphantom) in Equations 4 and 5: Xphantom=(Xtroch−Xorigin)*cosine(anglefemur)−(Ytroch−Yorigin)*sine(anglefemur)+Xorigin EQ. 4: Yphantom=(Xtroch−Xorigin)*sine(anglefemur)+(Ytroch−Yorigin)*cosine(anglefemur)+Yorigin EQ. 5: A vector “v”, line 3118, is extended from the preoperative landmark point 3112 (“p2”) to corrected landmark point 3116. Right triangle “legs” 3120 and 3122 are utilized to estimate offset and leg length, respectively. Leg 3122 is generally parallel to preoperative femoral axis 3104 in this construction. The Acetabular circle 3100 (“c1”) assists in locating center of rotation 3102. Also shown in FIG. 21 are radius lines 3130 and 3132 which are also separated by angle α, arrow 3114. As mentioned above, FIG. 20 is an “overlay” screen view 3050′ of the intraop image 3070, FIG. 19, superimposed as PostOp image 3070′ on the preoperative image 3052 as PreOp image 3052′. The two stationary base lines 3056 and 3076 of FIG. 19 are aligned exactly one on top of the other, represented as a single stationary base line 3056′, 3076′. First error correction triangle 3084 is shown connecting intraoperative error point 3077′ on the pelvic teardrop, point 3078′ on the ischial tuberosity and point 3079′ on the pubic symphysis, and a similar error correction triangle 3085 connects points 3057′, 3058′ and 3059′, representing points 3057, 3058 and 3059 of preoperative image 3052, FIG. 19. Details window 3090 lists “Leg Length: −0.4 mm”, “Offset: −3.8 mm” and “Confidence Score: 5.4” as described in more detail below. Finally, in Step 3018, FIG. 17, the Calculation Module 3040, FIG. 18, calculates the change in leg length and offset by analysing the vector between the greater trochanter point in the preop image and the calculated phantom point in the intraop image, such as illustrated in FIG. 21. To calculate leg length, the system calculates the distance between these two points along the femoral axis identified from the preop image, as identified by line 3122 in FIG. 21. To calculate offset, the system calculates the distance between the two points along the axis that is perpendicular to the femoral axis from the preop image, as identified by line 3120. A specific example of these calculations is given in Details window 3090, FIG. 20. The “Confidence Score” listed in box 3090 relates to the two error triangles 3084 and 3085 as follows. The three points comprising each triangle enables the user to easily visualize any differences in pelvic anatomy in the overlay which may exist even after scaling and alignment. Although the stationary bases are completely matched one on top of the other, such as illustrated by single stationary base line 3056′, 3076′, the amount of deviation in the two error triangles 3084, 3085 can be visually inspected to appreciate potential error in the system, such as caused by one or more of parallax, differences in imaging vantage point of the three-dimensional skeletal anatomy, and/or by point placement within the system. As an additional, optional step to quantify the differences between the placement of the two error triangles, the system provides a weighted “confidence score”, ranging from 0.0 to 10.0 in this construction. In one implementation, the system finds the difference in an absolute scale between each of two corresponding points in the preop and postop images as overlaid. In some constructions, error in certain point pairs is assigned a weighting that is greater or lesser than for other error point pairs. As one example, identifying a consistent point on the ischial tuberosity may be difficult between images, so that particular point pair (labelled 3059′ and 3079′ in FIG. 20) can be weighted less, such as by “discounting” it by fifty percent. Finally, the weighted sum of numerical error among the error point pairs is converted to a single confidence score, such as “5.4” shown in display window 3090. The weighting is not necessarily linear. Further, a cut-off value can be provided beyond which the error is deemed to be too great to provide useful analysis; in one construction, the system then recommends that the user obtain an alternative intraoperative image to compare with the preoperative image, or with a contralateral image, to analyze according to the present invention. Alternative constructions of this system and method will use different methods to determine the deviation between femoral angles in the preop and intraop images. For example, in one construction, the femoral angle can be analysed by creating an image cut-out of one femur and superimposing it on top of the other at the original angle. The cut-out and underlying image may also be connected by the known femoral landmark, such as the greater trochanter, and be made to be immutable at that single landmark point. Then, at least one of the system and user may adjust the image cut-out so that the femoral bone precisely overlays the femoral bone in the superimposed image by pivoting about that landmark point. The system may accomplish this using image recognition or other automated algorithm that identifies the femoral bone or related femoral landmarks such as the greater trochanter landmark previously identified. Alternatively, the user may match the femoral bones by adjusting the superimposed image of the femur so that it matches the femur in the underlying image. The system may attempt to initially match the femoral bones and then provide the user the option to reposition the femur to improve the position. Finally, the system will calculate the deviation in angle between the two femurs by calculating the angle that the cut-out was adjusted, providing similar information In yet another construction, reference (preop) and intraop images are compared via a grid-type X-Y coordinate system without utilizing femoral angles, such as for preoperative images 3202, 3202′ and intraoperative images 3242, 3242′ in screen views 3200 and 3200′ illustrated in FIGS. 22-23, respectively. The reference and intraoperative images are not actually digitally overlaid one on top of the other in this construction; instead, preop image 3202, FIG. 22, is overlaid with, or otherwise associated with, a grid 3204 having a Y-axis 3205 and an X axis 3306 with units “100, 200, . . . 500” as shown, with the origin in the upper left-hand corner of grid 3204. In a similar manner, intraop image 3242 is associated with a grid 3244 having a Y-axis 3245 and an X axis 3346, preop image 3202′, FIG. 23, is associated with a grid 3204′ having a Y-axis 3205′ and an X axis 3306, and intraop image 3242′ is associated with a grid 3244′ having a Y-axis 3245′ and an X axis 3346′. Preop image 3202, FIG. 22, includes femur Fp with landmark point 3208 on the greater trochanter, and stationary base 3210 and error triangle 3212 on the pelvis. Intraop image 3242 includes femur Fi with implant I having femoral stem FS and acetabular cup AC. Intraoperative landmark point 3248 has been placed on the greater trochanter. Stationary base 3250 and error triangle 3253 have been placed on the pelvis. Preop image 3202′, FIG. 23, includes femur Fp′ with landmark point 3208′ on the greater trochanter, and stationary base 3210′ and error triangle 3212′ on the pelvis. Intraop image 3242′ includes femur Fi′ with implant I′ having femoral stem FS′ and acetabular cup AC′. Intraoperative landmark point 3248′ is on the greater trochanter. Stationary base 3250′ and error triangle 3253′ have been placed on the pelvis. After a user activates a “Proceed To Analysis” icon 3260, FIG. 22, the system aligns preop image 3202′, FIG. 23, with intraop image 3242′. In this example, preop image 3202′ has been “tilted” or rotated counter-clockwise relative to the initial position of preop image 3202 in FIG. 22 to represent alignment achieved using stationary base 3210′ and 3250′. After both preop image and 3202′ and 3242′ have been aligned relative to each other, then a difference in position of one of the landmark points is determined, such as the shift of preop landmark point 3208, FIG. 22 to the aligned position of preop landmark point 3208′, FIG. 23. In this example, intraoperative landmark point 3248′ is in the same grid location as intraoperative landmark point 3248, FIG. 22. A vector can then be calculated from intraop landmark point 3248′ to corrected point 3208′ using calculations similar to that described above in relation to FIG. 21. In this construction, a “Details” window 3270 graphically shows the change in position of initial preop landmark point 3208 to corrected landmark point 3208′. Other alternative constructions will change the order of various steps, including the generation of various digital landmarks. An additional alternative construction will identify an estimated center of rotation in the preop image instead of the intraop image, using a similar digital circle placed around the femoral head, or similar technique to annotate the estimate center of rotation. Although specific features of the present invention are shown in some drawings and not in others, this is for convenience only, as each feature may be combined with any or all of the other features in accordance with the invention. While there have been shown, described, and pointed out fundamental novel features of the invention as applied to one or more preferred embodiments thereof, it will be understood that various omissions, substitutions, and changes in the form and details of the devices illustrated, and in their operation, may be made by those skilled in the art without departing from the spirit and scope of the invention. For example, it is expressly intended that all combinations of those elements and/or steps that perform substantially the same function, in substantially the same way, to achieve the same results be within the scope of the invention. Substitutions of elements from one described embodiment to another are also fully intended and contemplated. It is also to be understood that the drawings are not necessarily drawn to scale, but that they are merely conceptual in nature. Other embodiments will occur to those skilled in the art and are within the scope of the present disclosure.",A61B612,A61B612,20160113,,20160512,77654.0 6,14909084,PENDING,HANDLING SEARCH QUERIES,"A system for providing advertisements with search results in response to a search query comprises a front end and an advertisement server. The front end is configured: to receive a search query; to send a first search request to a search server and sending a first advertisement request to an advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; to receive search results from the search server; and to send at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided. The advertisement server is configured: in response to receiving the first advertisement request, to search for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, to modify the score of at least one of the plural advertisement results; to rank the plural advertisement results according to their scores; to select one or more of the highest ranked plural advertisement results; and to send an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results. The front end is configured to provide the search results with the selected one or more highest ranked plural advertisement results.","1. A system for providing advertisements with search results in response to a search query, the system comprising a front end and an advertisement server, wherein: the front in is configured: to receive a search query; to open a first communication session with a load balancer, wherein the load balancer is configured to open a second communication session with an advertisement server, wherein the front end and the load balancer are configured to use a first session identifier in all messages sent within the first communication session after the first communication session has been established, and wherein the load balancer and the advertisement server are configured to use a second session identifier in all messages sent with the second communication session after the second communication session has been established; to send a first search request to a search server and send a first advertisement request to the advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; to receive search results from the search server; and to send at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided; the advertisement server is configured: in response to receiving the first advertisement request, to search for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, to modify the score of at least one of the plural advertisement results; to rank the plural advertisement results according to their scores; to select one or more of the highest ranked plural advertisement results; and to send an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results; and the front end is configured: to provide the search results with the selected one or more highest ranked plural advertisement results. 2. A system as claimed in claim 1, wherein the advertisement server is configured to respond to receiving the second advertisement request by performing an additional search for advertisements using information forming part of the second advertisement request. 3. A system as claimed in claim 1, wherein the advertisement server is configured to modify the score of at least one of the plural advertisement results by modifying a predicted click-through rate of at least one of the plural advertisement results. 4. A system as claimed in claim 3, wherein the advertisement server is configured to modify the score of at least one of the plural advertisement results by modifying the predicted click-through rate of at least one of the plural advertisement results depending on user interface elements that are indicated in the second advertisement request. 5. A system as claimed in claim 1, wherein the advertisement server configured to modify the score of at least one of the plural advertisement results by modifying a bid associated with of at least one of the plural advertisement results. 6. A system as claimed in claim 1, wherein the advertisement server is configured to modify the score of at least one of the plural advertisement results by modifying a relevance score associated with of at least one of the plural advertisement results. 7. A system as claimed in claim 6, wherein the advertisement server is configured to modify the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on content of the top one of multiple search results identified in the second advertisement request. 8. A system as claimed in claim 6, wherein the advertisement server is configured to modify the score of at least one of the plural advertisement results modifying the relevance score associated with of at least one of the plural advertisement results based on a location of the top one of multiple search results identified in the second advertisement request. 9. (canceled) 10. (canceled) 11. A system as claimed in claim 1, wherein the front end is configured to process the search results received from the search server and, for the at least some of the search results, to include some but not all of the information comprising the search results in the second advertisement request. 12. A system as claimed in claim 1, wherein the front end is configured to include in the second advertisement request one or more of: content type information; map information indicating a map area; information identifying the presence or absence of a knowledge card; and information specific to the user. 13. A system as claimed in claim 1, wherein the advertising server is configured to modify visual appearance characteristics of one or more advertisements based on content of the second advertisement request. 14. A method of providing advertisements with search results in response to a search query, the method comprising: a front end: receiving a search query; opening a first communication session with a load balancer, wherein the load balancer opens a second communication session with an advertisement server, wherein the front end and the load balancer using a first session identifier in all messages sent within a first communication session after the first communication session has been established, and wherein the load balancer and the advertisement server use a second session identifier in all messages sent with the second communication session after the second communication session has been established; sending a first search request to a search server and sending a first advertisement request to the advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; receiving search results from the search server; and sending at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided; the advertisement server: in response to receiving the first advertisement request, searching for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, modifying the score of at least one of the plural advertisement results; ranking the plural advertisement results according to the their scores; selecting one or more of the highest ranked plural advertisement results; and sending an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results; and the front end: providing the search results with the selected one or more highest ranked plural advertisement results. 15. A method as claimed in claim 14, comprising the advertisement server responding to receiving the second advertisement request by performing an additional search for advertisements using information forming part of the second advertisement request. 16. A method as claimed in claim 14, comprising the advertisement server modifying the score of at least one of the plural advertisement results by modifying a predicted click-through rate of at least one of the plural advertisement results. 17. A method as claimed in claim 16, comprising the advertisement server modifying the score of at least one of the plural advertisement results by modifying the predicted click-through rate of at least one of the plural advertisement results depending on user interface elements that are indicated in the second advertisement request. 18. A method as claimed in claim 14, comprising the advertisement server modifying the score of at least one of the plural advertisement results by modifying a bid associated with of at least one of the plural advertisement results. 19. A method as claimed in claim 14, comprising the advertisement server modifying the score of at least one of the plural advertisement results by modifying relevance score associated with of at least one of the plural advertisement results. 20. A method as claimed in claim 19, comprising the advertisement server modifying the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on content of the top one of multiple search results identified in the second advertisement request. 21. A method as claimed in claim 19, comprising the advertisement server modifying the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on a location of the top one of multiple search results identified in the second advertisement request. 22. (canceled) 23. (canceled) 24. A method as claimed in claim 14, comprising the front end processing the search results received from the search server and, for the at least some of the search results, including some but not all of the information comprising the search results in the second advertisement request. 25. A method as claimed in claim 14, comprising the front end including in the second advertisement request one or more of: content type information; map information indicating a map area; information identifying the presence or absence of a knowledge card; and information specific to the user. 26. A method as claimed in claim 14, comprising the advertising server modifying visual appearance characteristics of one or more advertisement based on content of the second advertisement request. 27. A computer program comprising machine readable instructions that when executed control a system comprising a front end and an advertisement server to perform a method as claimed in claim 14."," BACKGROUND TO THE INVENTION Worldwideweb search services, such as those provided by Google, Inc. through google.com, have been used for a number of years and have been becoming increasingly sophisticated. It is common when providing search results to a user to provide also one or more advertisements. Advertisements are paid for by advertisers, who are normally charged by the provider of the search services on the basis of a number of impressions (the number of times that an advertisement is presented to users) or on the basis of click-through (the number of occasions on which users click on a link in an advertisement, thereby directing traffic to the advertiser's website). A webpage provided in response to a search query thus typically has two components. The first is a number of search results, which are discreet listings that have been selected by a search engine used by the search services provider to identify webpages that are anticipated to be of interest to the user that submitted the search query. The second component of the webpage is one or more advertisements, which are selected by an advertisement server operated by the search services provider and which have been selected as likely being of interest to the user, based on the search query. It would be desirable to take into account the search results provided by the search server when selecting advertisements for inclusion in the webpage. However, it has not heretofore been technically feasible to use the results provided by the search server in selecting advertisements without increasing the time between receiving a search query and providing the webpage of search results to an unacceptably long time. The present invention seeks to provide a solution to this problem."," SUMMARY OF THE INVENTION A first aspect of the invention provides a system for providing advertisements with search results in response to a search query, the system comprising a front end and an advertisement server, wherein: the front end is configured: to receive a search query; to send a first search request to a search server and sending a first advertisement request to an advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; to receive search results from the search server; and to send at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided; the advertisement server is configured: in response to receiving the first advertisement request, to search for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, to modify the score of at least one of the plural advertisement results; to rank the plural advertisement results according to their scores; to select one or more of the highest ranked plural advertisement results; and to send an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results; and the front end is configured: to provide the search results with the selected one or more highest ranked plural advertisement results. The advertisement server may be configured to respond to receiving the second advertisement request by performing an additional search for advertisements using information forming part of the second advertisement request. The advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying a predicted click-through rate of at least one of the plural advertisement results. Here, the advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying the predicted click-through rate of at least one of the plural advertisement results depending on user interface elements that are indicated in the second advertisement request. The advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying a bid associated with of at least one of the plural advertisement results. The advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying a relevance score associated with of at least one of the plural advertisement results. Here, the advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on content of the top one of multiple search results identified in the second advertisement request. Alternatively or additionally, the advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on a location of the top one of multiple search results identified in the second advertisement request. The front end may be configured to open a first communication session with a load balancer, and wherein the load balancer is configured to open a second communication session with the advertisement server. Here, the front end and the load balancer may be configured to use a first session identifier in all messages sent within the first communication session after the first communication session has been established, and wherein the load balancer and the advertisement server are configured to use a second session identifier in all messages sent with the second communication session after the second communication session has been established. The front end may be configured to process the search results received from the search server and, for the at least some of the search results, to include some but not all of the information comprising the search results in the second advertisement request. The front end may be configured to include in the second advertisement request one or more of: content type information; map information indicating a map area; information identifying the presence or absence of a knowledge card; and information specific to the user. The advertising server may be configured to modify visual appearance characteristics of one or more advertisements based on content of the second advertisement request. A second aspect of the invention provides a method of providing advertisements with search results in response to a search query, the method comprising: a front end: receiving a search query; sending a first search request to a search server and sending a first advertisement request to an advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; receiving search results from the search server; and sending at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided; the advertisement server: in response to receiving the first advertisement request, searching for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, modifying the score of at least one of the plural advertisement results; ranking the plural advertisement results according to their scores; selecting one or more of the highest ranked plural advertisement results; and sending an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results; and the front end: providing the search results with the selected one or more highest ranked plural advertisement results. The invention also provides a computer program comprising machine readable instructions that when executed control a system comprising a front end and an advertisement server to perform this method.","FIELD OF THE INVENTION This invention relates to handling search queries. In particular, it relates to providing advertisements with search results in response to a search query. BACKGROUND TO THE INVENTION Worldwideweb search services, such as those provided by Google, Inc. through google.com, have been used for a number of years and have been becoming increasingly sophisticated. It is common when providing search results to a user to provide also one or more advertisements. Advertisements are paid for by advertisers, who are normally charged by the provider of the search services on the basis of a number of impressions (the number of times that an advertisement is presented to users) or on the basis of click-through (the number of occasions on which users click on a link in an advertisement, thereby directing traffic to the advertiser's website). A webpage provided in response to a search query thus typically has two components. The first is a number of search results, which are discreet listings that have been selected by a search engine used by the search services provider to identify webpages that are anticipated to be of interest to the user that submitted the search query. The second component of the webpage is one or more advertisements, which are selected by an advertisement server operated by the search services provider and which have been selected as likely being of interest to the user, based on the search query. It would be desirable to take into account the search results provided by the search server when selecting advertisements for inclusion in the webpage. However, it has not heretofore been technically feasible to use the results provided by the search server in selecting advertisements without increasing the time between receiving a search query and providing the webpage of search results to an unacceptably long time. The present invention seeks to provide a solution to this problem. SUMMARY OF THE INVENTION A first aspect of the invention provides a system for providing advertisements with search results in response to a search query, the system comprising a front end and an advertisement server, wherein: the front end is configured: to receive a search query; to send a first search request to a search server and sending a first advertisement request to an advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; to receive search results from the search server; and to send at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided; the advertisement server is configured: in response to receiving the first advertisement request, to search for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, to modify the score of at least one of the plural advertisement results; to rank the plural advertisement results according to their scores; to select one or more of the highest ranked plural advertisement results; and to send an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results; and the front end is configured: to provide the search results with the selected one or more highest ranked plural advertisement results. The advertisement server may be configured to respond to receiving the second advertisement request by performing an additional search for advertisements using information forming part of the second advertisement request. The advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying a predicted click-through rate of at least one of the plural advertisement results. Here, the advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying the predicted click-through rate of at least one of the plural advertisement results depending on user interface elements that are indicated in the second advertisement request. The advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying a bid associated with of at least one of the plural advertisement results. The advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying a relevance score associated with of at least one of the plural advertisement results. Here, the advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on content of the top one of multiple search results identified in the second advertisement request. Alternatively or additionally, the advertisement server may be configured to modify the score of at least one of the plural advertisement results by modifying the relevance score associated with of at least one of the plural advertisement results based on a location of the top one of multiple search results identified in the second advertisement request. The front end may be configured to open a first communication session with a load balancer, and wherein the load balancer is configured to open a second communication session with the advertisement server. Here, the front end and the load balancer may be configured to use a first session identifier in all messages sent within the first communication session after the first communication session has been established, and wherein the load balancer and the advertisement server are configured to use a second session identifier in all messages sent with the second communication session after the second communication session has been established. The front end may be configured to process the search results received from the search server and, for the at least some of the search results, to include some but not all of the information comprising the search results in the second advertisement request. The front end may be configured to include in the second advertisement request one or more of: content type information; map information indicating a map area; information identifying the presence or absence of a knowledge card; and information specific to the user. The advertising server may be configured to modify visual appearance characteristics of one or more advertisements based on content of the second advertisement request. A second aspect of the invention provides a method of providing advertisements with search results in response to a search query, the method comprising: a front end: receiving a search query; sending a first search request to a search server and sending a first advertisement request to an advertisement server, wherein the first search request includes the search query or information based on the search query, and wherein the first advertisement request includes the search query or information based on the search query and an indication that an advertisement response is not to be provided; receiving search results from the search server; and sending at least some of the search results to the advertisement server in a second advertisement request, wherein the second advertisement request includes an indication that an advertisement response is to be provided; the advertisement server: in response to receiving the first advertisement request, searching for advertisements related to the search query to produce plural advertisement results each with an associated score; in response to receiving the second advertisement request, modifying the score of at least one of the plural advertisement results; ranking the plural advertisement results according to their scores; selecting one or more of the highest ranked plural advertisement results; and sending an advertisement response to the front end, the advertisement response including the selected one or more highest ranked plural advertisement results; and the front end: providing the search results with the selected one or more highest ranked plural advertisement results. The invention also provides a computer program comprising machine readable instructions that when executed control a system comprising a front end and an advertisement server to perform this method. BRIEF DESCRIPTION OF THE DRAWINGS Embodiments of the invention will now be described, by way of example only, with reference to the accompanying drawings, in which: FIG. 1 is a schematic diagram illustrating a system operating according to aspects of the invention; FIG. 2 is a flow chart illustrating high level operation of the system of FIG. 1 according to aspects of the invention; FIG. 3 is a flow chart illustrating operation of a front end of the system of FIG. 1 according to aspects of the invention; FIG. 4 is a flow chart illustrating operation of an ads server of the system of FIG. 1 according to aspects of the invention; FIG. 5 is a messaging diagram illustrating the flow of messages between some components of the FIG. 1 system according to aspects of the invention; and FIG. 6 is a schematic diagram illustrating components within some components of the FIG. 1 system. DETAILED DESCRIPTION OF EMBODIMENTS Referring firstly to FIG. 1, a system 100 operating according to aspects of the invention will now be described. The system 100 includes three main components. These are a front end 101, a search server system 102 and an ads server system 103. The server system 102 is in bidirectional communication with the front end 101. The ads server system 103 is in bidirectional communication with the front end 101. In this example, there is no direct communication between the search server system 102 and the ads server system 103. The search server system 102 comprises multiple servers. These are illustrated schematically in FIG. 1 as first to fourth servers 102a . . . 102d. However, it will be appreciated that this is merely schematic and that the search server system may comprise between one and many hundreds of physical servers. Similarly, the ads server system 103 is shown in FIG. 1 as comprising four ads servers 103a . . . 103d. However, the ads server system 103 may comprise any number of physical servers between one and many hundreds of physical servers. A load balancer 104 is connected between the front end 101 and the ads server system 103. Operation of the load balancer 104 is described in some detail below. The ads server system 103 is bidirectionally connected to three subsystems. A first system is a retrieve subsystem 105. A second subsystem is a PCTR, or predicted click-through rate, subsystem 106. A third subsystem is a relevance score subsystem 107. Each of the servers 103a-103d of the ads server system 103 may include dedicated retrieve, PCTR and relevance score subsystems 105, 106, 107. Alternatively, multiple ones of the ads servers 103a to 103d may share common retrieve, PCTR and relevance score subsystems 105-107. The front end 101 is a system comprising a server or multiple servers. The front end 101 is the system that is accessed when a user accesses a home webpage of a search service provider, for instance google.com. At least some of the servers of the front end 101 are web servers. High level operation of the system of FIG. 1 will now be described with reference to FIG. 2. The operation of FIG. 2 starts at step 51. At step S2, the front end 101 receives a search query from a user. Typically, step S2 involves receiving an http request from a browser application being used by the user, the http request including a search query that is presented into a search form provided by the browser application as a result of HTML code provided by the front end 101. Various alternative ways in which the front end 101 may be provided with a search query from a user will be apparent to the skilled person. At step S3, the system runs search and ads (advertising) processing in parallel. This involves the search query being sent from the front end 101 to both the search server system 102 (as a search request) and the ads server system 103 (as a first advertisement request). The search request may be sent to the search server system 102 substantially simultaneously with the sending of the first advertisement request to the ads server system 103, or one may slightly follow the other. The first advertisement request sent to the ads server system 103 includes an instruction not to provide an advertisement response. At step S4, some of the search results provided by the search server system 102 are provided by the front end 101 to the ads server system 103 as a second advertisement request. The aspects of the search results provided by the search server system to the front end 101 that are selected by the front end 101 for sending to the ads server system 103 in the second advertisement request may be made in any suitable way, and some examples are described later in this specification. The second advertising request sent as part of step S4 includes an indication that an advertisement response is to be provided. At step S5, the ads server system 103 completes processing of the search query, using the original search query and using the part of the results of the search that were provided in step S4. The ads server system 103 then provides the resulting advertisement response to the front end 101. A webpage including the search results provided by the search service system 102 and the advertisements provided by the ads server system 103 is prepared by the front end and is provided to the user, who views the webpage using their browser application. This webpage can be called the search response. The operation ends at step S7. Very briefly, the process described with reference to FIG. 2 allows the advertisements provided in the search response webpage to the user to include advertisements that take into account the results of the processing of the search query by the search server system 102. Moreover, this is achieved relatively quickly. The relatively quick speed of provision is a result of the ads server 103 being able to partially process the search query in parallel with the search query being processed by the search server system 102. This is possible due to the contents of the advertisement requests, in particular the inclusion in the first advertisement request of an indication that an advertisement response is not to be provided and an inclusion in the second advertisement request that an advertisement response is to be provided, and because of the configuration of the front end 101 and the ads server system 103 to provide part-processing of the search query by the ads server 103 and to complete the processing using the results of the search performed by the search server system 102. Further details and additional advantages will be apparent from the following description, which is to be read in accordance with the accompanying figures. Detailed embodiments will now be described with reference to FIGS. 3, 4 and 5. FIG. 3 relates to steps performed by the front end 101 and FIG. 4 relates to steps performed by the ads server system 103. Referring firstly to FIG. 3, the operation begins at step S1. At step S2, the search query is received from the user. This step was described above with reference to FIG. 2. At step S3, the front end 101 sends a first search request to the search server system 102 in a message. The sending of the first search request is indicated at S1 in FIG. 1. The first search request may take any suitable form. For instance, it may include all of the text of the search query that was provided by the user and was received at step S2. Typically, the first search request does include all of the text of the search query received at step S2. The first search request may additionally include some context information. For instance, the context information may take the form of information relating to the user's current location, if this information is available. The context information may include information about content that is being displayed by a webpage in which the search query was entered by a user. For instance, if the search query was entered into a search text entry field provided in conjunction with content such as one or more maps or images or a third party website, the context information may include information identifying the related content. At step S4, a first advertisement request is sent by the front end 101 to the ads server system 103 in a message. The sending of the first advertisement request is indicated at A2 in FIG. 1. The first advertisement request can take the same form as the first search request that was sent at step S3. Alternatively, the first advertisement request may take a different form. For instance, the first advertisement request may include a subset of the information that was included in the first search request that was sent to the search server. The first advertisement request prepared by the front end 101 and sent at step S4 includes an indication that an advertisement response is not to be provided by the ads server 103. This may be provided in any suitable way. For instance, it may take the form of a flag, or more generally a predetermined value in a predetermined field. The indication may take the form of text, or it may simply be data. After sending the first search request to the search server 102 at step S3, the search server system 102 processes the first search request. This may be entirely conventional, and does not need to be explained here. The result of processing of the first search request by the search server system 102 is search results, that are sent in a search response message to the front end 101. This is indicated at S2 in FIG. 1. The search response message including the search results are received from the search server system at the front end 101 at step S5 of FIG. 3. The search results received at the front end 101 from the search server system 102 at step S5 include information falling into two categories, and possibly also information falling into a third category. Information falling into the first category is information that is presented in the search response webpage to the user. This information includes a URL, a text snippet and one or more site links. The URL is text that indicates the location/node on the worldwideweb to which the first site link points. The site link is a hyperlink to the URL that is indicated. The displayed text of the hyperlink typically is different to the URL, and for instance typically is descriptive. The text snippet is plain text that is derived from the webpage to which the particular search result relates. The text snippet typically includes between 10 and 20 words and about 100 or so characters, although this is merely an example. The text snippet is text derived from the webpage to which the particular search result relates and which has been determined by the search server system 102 as being particularly relevant to the search query. The second category of information is information that is not displayed to a user in the search page. This includes location information relating to the search result. The location information may for instance be an address, that is a street address or a PO box address, or latitude and longitude coordinates or such like. The information that is not displayed may additionally include entity information that is on the webpage to which the search result relates, for instance it may include categories that are relevant to the search result. The optional other category of information is other elements such as a knowledge card, a map, a video, and other search queries. The information described above is provided for each of plural search results. The number of search results that are included in the search results may be determined by the front end 101, for instance based on a preference setting of the user or based on some other information, or it may be determined by the search server system 102. A typical number of search results presented on a search response webpage is 10. The number of search results included in the search response typically is sufficient for a number of pages of search response webpage. For instance, 100 search results may be included in the search response. The search response may also include a full page replacement, where the search server system 102 has determined that the search query may have included a spelling mistake. A full page replacement includes search results for a spell-corrected version of the search query, and indicates both the spell-corrected search query and the uncorrected search query. Search results for the uncorrected search query typically are not provided in a search response including a full page replacement. At step S6, the front end 101 selects data from the search results received at step S5. The selection of data at step S6 involves selecting some of the data forming part of the search results received from the search server, and not selecting other data. This step may be performed in any suitable way. For instance, if a knowledge card is present in search results, the knowledge card is not selected at step S6. Text snippets are selected at step S6, although in some embodiments they are not selected. Site links are not selected at step S6. Furthermore, full location information is not selected at step S6. URLs from the search results are selected. At step S7, a second advertisement request is prepared using the data that was selected at step S6. The second advertisement request may includes some additional information. The second advertisement request may include information relating to the plural search results. For instance, if a knowledge card is present in the search response, the knowledge card is not selected at step S6. However, the front end 101 provides the second advertisement request with a flag indicating that a knowledge card is present in the search response. The flag may be of a Boolean representation. Furthermore, full location information is not selected at step S6. However, a flag indicating whether or not location information is present may be provided. A flag is provided for each search result, allowing determination of which search results have location information associated therewith. Also, information identifying the type of content present at the webpage linked to by the URL of a search result is included in the second advertisement request. The type of content may be indicated as for instance a video, a webpage, a news story or an image. The type of content is provided separately for each search result. Where the search response indicates that a map is to be provided in the search response webpage, the second advertisement request can include information identifying the map area, for instance by defining the rectangular border of the map. The second advertisement request can additionally include information identifying when search results are located within the map area. The second advertisement request includes data selected from each of the search results that were provided by the search server system 102 to the front end 101 at step S5. For instance, where ten search results were provided, the second advertisement request includes information relating to each of the ten separate search results, which may be quite different from one another, as well as the user-specific data. Additionally, the search query to which the search results relate, this being the search query that was received by the front end 101 at step S2, is included in the second advertisement request. The second advertisement request also includes an indication that an advertisement response is to be provided by the ads server system 103. This can be achieved in any suitable way. For instance, it may involve including a flag having an opposite value to the flag used in the first advertisement request that was sent at step S4. Following preparation of the second advertisement request, it is sent to the ads server system 103 at step S7 by the front end 101. This is illustrated at A2 in FIG. 1. Following step S7, the front end 101 waits for an advertisement response from the ads server system 103. The advertisement response is indicated at A3 in FIG. 1. When the advertisement response is received at step S8, the front end 101 continues with the operation of FIG. 3. In particular, at step S9 the front end 101 combines information from the search response received from the search server system 102 at step S5 with information from the advertisement response received from the ads server system 103 at step S8 into a search response webpage. The search response webpage is then provided at step S10 to the user, and is viewed by the user through their browser application. The operation ends at step S11. Operation of the ads server system 103 will now be described with reference to FIG. 4. Operation begins at step S1. At step S2, the ads server system 103 receives the first advertisement request. This is the first advertisement request that was sent by the front end 101 at step S4 of FIG. 3. At step S3, the ads server system 103 searches for advertisements using the information included in the first advertisement request. This step may be performed in a conventional way. The result of step S3 is a number of advertisements that may be of interest to the user. For instance, the result of step S3 may be some dozens of advertisements, some hundreds of advertisements or even around a thousand advertisements. At step S4, the advertisements are ranked. Ranking involves attributing a score to each of the advertisements and then ordering the advertisements according to their score. This may be performed conventionally. For instance, attributing a score to an advertisement may involve applying a function of three parameters, which can be represented as follows: Score=f(bid, PCTR, relevance) Here, the numerical value of the bid parameter is a value provided by an advertiser in advance, through their advertising account with the search service provider. The provision of a bid value for an advertisement is provided by the retrieve subsystem 105. The operation involves the ads server system 103 sending a request R1 for a bid for an advertisement to the retrieve subsystem 105. The retrieve subsystem 105 then calculates a bid value and sends it at R2 to the ads server system 103. The calculation of the bid value by the retrieve subsystem 105 may be performed in any suitable way, for instance conventionally. PCTR is predicted click-through rate, and has a numerical value. A PCTR for a given advertisement is calculated by the PCTR subsystem 106. The PCTR may be calculated in any suitable way. The procedure is that the ads server system 103 sends P1 a request for a PCTR for an advertisement to the PCTR subsystem 106. The PCTR subsystem 106 then calculates a PCTR value for the advertisement, which can be performed in any suitable way. The PCTR value for the advertisement then is returned to the ads server system 103 by P2 in FIG. 1. The numerical value of the relevance parameter is provided by the relevance score subsystem 107. This may be performed in any suitable way, for instance in a conventional manner. The procedure is that the ads server system 103 sends a relevance score RS1 request to the relevance score subsystem 107. The relevance score subsystem then calculates a relevance score for the advertisement and sends it at RS2 to the ads server system 103. After a score has been calculated for each of the advertisements, using the function of the three parameters, the advertisements are ranked at step S4. Step S4 may involve physically organising the data relating to the advertisements such that higher ranked advertisements are physically located in memory together. Alternatively, the ranking of advertisements at step S4 may merely involve deleting or marking for deletion advertisements which have a score that is so low that the advertisement does not qualify to be included in the ranked advertisements. At step S5, the ads server system 103 waits for a second advertisement request from the front end 101. The ads server system 103 knows to wait for the second advertisement request by virtue of the inclusion in the first advertisement request of the indication that an advertisement response is not to be provided. Once a second advertisement request is received from the front end 101, the operation continues to step S6. Step S6 is an optional step of performing further searching for advertisements. Step S6 is not a repeat of step S3; instead it is a significantly more focussed and shorter duration search. The further searching performed in step S6 may for instance be based on a small number of the highest search results included in the second advertisement request. For instance, the further searching performed at step S6 may be based on the top (first) one of the search results included in the second advertisement request. For instance, a search query of “highest mountain in the world” may produce from the search server system 102 a number of search results including a top (first) result relating to an online encyclopaedia entry for Mount Everest. The search query is included in the first advertisement request received at step S2 and the search result of “Mount Everest” is received in the second advertisement request at step S5. In this case, step S6 may involve performing further searching in respect of “Mount Everest”, resulting in one or more advertisements for organised tours or vacations to Mount Everest. Advertisements found during the further search in step S6 are scored using the formula given above and are included in the ranked advertisements from step S4. At step S7, the scores of advertisements, and thus potentially their ranking, is changed by the ads server system 103. As shown in FIG. 4, there are three aspects to this step. The first is modifying the PCTR at step S7.1. The second is modifying the relevance score at step S7.2. The third is modifying the bid at step S7.3. Changing the score/ranking of ads at step S7 may involve just one of the options of steps S7.1 to S7.3. Alternatively, it may involve two of the options of steps S7.1 to S7.3. For instance, it may involve modifying the PCTR and modifying the relevance score. Alternatively, step S7 may involve all three of the options of step S7.1 to S7.3. Step S7 is performed separately for each advertisement. The ads server system 103 may modify the value of PCTR for an advertisement at step S7.1 in one of a number of different ways. For instance, the PCTR of an advertisement is changed depending on the user interface elements that are indicated in the second advertisement request. For a given advertisement, the PCTR may be modified by the ads server system 103 depending on whether a map is indicated as being a user interface element that is present in the search results. As indicated above, the second advertisement request does not include any maps, but can include an indication of whether a map is to be provided. The PCTR may be modified upwards or downwards, that is it may be increased or decreased, depending on whether a map is to be provided with the search results. The particular algorithm for modifying the PCTR depending on whether or not a map is to be provided can take any suitable form. Similarly, the PCTR for an advertisement can be changed depending on whether the search response includes a knowledge card. As indicated above, the presence or absence of a knowledge card in the search response is indicated in the second advertisement request. Additionally, the PCTR for an advertisement may be modified by the ads server system 103 depending on the number of images that are present in the search results, as indicated in the second advertisement request. In the case of modifying the PCTR depending on whether there is a knowledge card in the search results and how many images are present in the search results may result in the PCTR being increased or decreased, depending on the choice of algorithm. Modifying the relevance score of an advertisement at step S7.2 can take any suitable form. For instance, for a search query relating to “car insurance”, the top search result may be an insurance provider such as “Example Car Insurance”. In this case, step S7.2 may modify the relevance score of advertisements that relate to “Example Car Insurance”, in particular by giving them a higher relevance score. Step S7.2 may or may not involve reducing the relevant score of advertisements that do not lead to “Example Car Insurance”. Modifying the relevance at step S7.2 may involve increasing the relevance score for advertisements that relate to a physical location that is relatively proximate to a location of the top search result. For instance, a top search result relating to a particular theatre in London may result in step S7.2 increasing the relevance score for advertisements relating to restaurants that are located geographically close to the physical location of the theatre. If the second advertisement request includes snippets for the search results, modifying the relevant score at step S7.2 may involve increasing the relevance score for advertisements that include a relatively large amount of text in common with the snippets of the top one or more search results. Modifying the bid for an advertisement at step S7.3 can be performed in one of a number of ways. For instance, advertisers may specify with the search services provider that their bids for their advertisements are modified depending on search results that are to be provided to the user in the search response webpage. For instance, an advertiser may specify that their bid for an advertisement is to be increased from value x to value y if the search results resulting from a search query include a particular advertiser, which may for instance be the bidding advertiser or may be a third party advertiser, for instance a competitor of the bidding advertiser. For instance, an advertisement for a flower delivery service may be specified by the advertiser to have a bid x associated therewith and for the bid to be modified to a value y if the search results for a search query include flower delivery services of a particular competitor to the bidding advertiser. It will be appreciated that modifying the PCTR at step S7.1, modifying relevance at step S7.2, and modifying bid at step S7.3 is performed for at least some of the advertisements that were ranked in step S4. As such, the score for at least some of the advertisements is changed by performance at step S7. Consequently, the ranking of the advertisements will be changed as a result of performance at step S7 in most, if not all, instances. It will be appreciated also that modifying the PCTR at step S7.1, modifying relevance at step S7.2, and modifying bid at step S7.3 is performed using information related to only some of the search results included in the search response. For instance, modifying the PCTR at step S7.1, modifying relevance at step S7.2, and modifying bid at step S7.3 is performed using information related to only three or five of the search results included in the search response. As is conventional, one or more highest rank advertisements are provided in the search response webpage to the user by the front end 101. As such, modifying the score of advertisements in step S7 can result in different advertisements being provided to the user. Advantageously, these advertisements have been selected taking into account not only the search query but also the search results, as provided by the search server system 102, that are to be provided alongside the advertisements in the webpage to the user. Thus, more information is taken into account in the provision of advertisements than is possible on prior art systems. Moreover, this is achieved with a relatively small additional delay since the searching for advertisements and much of the processing of the advertisements by the ads server system 103 is performed whilst the search server system 102 is searching for the relevant search results. There is some additional delay in the provision of the search response webpage to the user but the additional delay is relatively small, resulting only from step S7 and optionally steps S6 and S8, and is considered to be an acceptable additional delay considering the benefits. Following step S7, there is an optional step of changing the visual appearance of advertisements at step S8. The changing of the visual appearance of the advertisements takes into account the content of the second advertisement request received at step S5. For instance, if search results have site links, step S8 may involve displaying more site links for a given advertisement. Alternatively or additionally, if a number of top search results prominently feature location information, step S8 may involve changing the visual appearance of the advertisements that are to be provided in the search response webpage such that locations within the advertisements are shown more prominently. Step S8 may alternatively involve making a determination to show extensions of advertisements whereas otherwise they would not have been shown. These extensions can include map extensions or video extensions etc. Map extensions of advertisements can be determined to be shown at step S8 where for instance the top search results include a relatively high amount of location information. A decision to show video extensions may be made at step S8 where the top search results include a relatively high amount of video content. Alternatively, step S8 may involve determining to show extensions such as map extensions and video extensions more prominently than otherwise they would have been shown in the search results provided to the user. At step S9, the ads server system 103 prepares and sends an advertisement response to the front end 101. The advertisement response includes a number of advertisements. The number of advertisements is selected by the ads server system 103 depending on a number of factors, and this may be performed in a conventional manner. The content of the advertisement included in the advertisement response sent at step S9 may be conventional. The content of the advertisements may include text, URLs and site links. The content of the advertisements may also include other content such as video, map content etc. The advertisement response may also include information that allows the front end 101 to determine how to show the corresponding advertisements. In particular, the advertisement response may include information identifying what site links are to be displayed, what locations are to be displayed and in what format, and whether extensions are to be displayed and if so how they are to be displayed. The advertisement response may be the same as in conventional systems. However, there is a difference in that the selection of advertisements included in the advertisement response takes account of the search results provided by the search server system 102, in particular by changing the score and thus ranking of advertisements through implementation of step S7 of FIG. 4. The operation ends at step S10. As mentioned above, the ads server system 103 includes multiple servers 103a to 103d. There may be many tens or even hundreds of servers 103a to 103d within the ads server system 103. This poses a number of challenges with the two stage advertisement searching and ranking procedure that was described above with reference to FIG. 4. These challenges have been overcome using a new technique which will now be described with reference primarily to FIG. 5. Briefly, the load balancer 104 responds to receiving the first advertisement request A1 from the front end 101 by choosing one of the ads servers 103a to 103d. The load balancer 104a opens a communication session with the selected ads server 103a to 103d, to provide a connection between the load balancer 104a and the selected ads server 103a to 103d. The load balancer 104 also maintains a separate communication session between the front end 101 and the load balancer 104, to provide a separate connection between the front end 101 and the load balancer 104. Subsequently, the load balancer 104 manages communication between the front end 101 and the selected ads server 103a to 103d through these two separate connections. In detail, FIG. 5 shows the front end 101, the load balancer 104 and a particular ads server, in this case the first ads server 103a. FIG. 5 also shows messages that pass between the various components. It will be seen that the front end 101 does not communicate directly with the ads server 103a. Instead the front end 101 communicates with the load balancer 104, and the load balancer 104 communicates with the ads server 103a. The first message is an open message 5.1 that is sent from the front end 101 to the load balancer 104. This constitutes a request to open a connection or commence a communication session between the front end 101 and the load balancer 104. The front end 101 sends the open message 5.1 in response to receiving a search query from the user, but before sending the first advertisement request. In response to receiving the first open message 5.1 from the front end 104, the load balancer 104 selects an ads server, in this case the first ads server 103a, for handling the search and ranking of advertisements. The load balancer 104, after it has selected the ads server 103a, sends an open message 5.2 to the selected ads server 103a. In response to receiving the open message 5.2, the ads server 103a prepares and sends an establish message 5.3 to the load balancer 104. Upon receipt of the establish message 5.3 at the load balancer 104, a connection is established between the load balancer 104 and the ads server 103a. In response to receiving the establish message 5.3, the load balancer 104 sends an establish message 5.4 to the front end 101. After the establish message 5.4 has been received at the front end 101, a connection is established between the front end 101 and the load balancer 104. For each connection, a session is in place between the two relevant components. The session is identified by a session identifier, that is agreed by the parties involved in the session. The session identifier is included in headers of packets that are sent between the parties to the connection, so that the recipient party can ascertain that the packets are part of the relevant connection. This may be performed in any suitable way, and connection sessions are well known in the art. Using session identifiers in this way allows the presence of multiple simultaneous sessions between the parties, allowing the processing of many search queries in parallel. After the session has been established between the front end 101 and the load balancer 104, the front end 101 sends the first advertisement request message 5.5 to the load balancer 104. This is the first advertisement request message that was sent at step S4 of FIG. 3 and received at step S2 of FIG. 4. From the session identifier included in headers of the message 5.5, the load balancer 104 is able to determine the particular ads server 103a to which to forward the first advertisement request. The first advertisement request is forwarded as a forward message 5.6 from the load balancer 104 to the ads server 103a. Here, the payload of the message is the same as that of the first advertisement request, but the headers of the message are different because of the different connection. The first advertisement request message 5.5 and the forwarded message 5.6 include an indication that an advertisement response is not required. As such, the ads server 103a does not provide a response. Instead, the ads server 103a performs steps S1 to S5 of FIG. 4, and waits for the second advertisement request. The front end 101 sends the second advertisement request message 5.7 to the load balancer 104. The load balancer 104 in response forwards a message 5.8 including the payload of the second advertisement request message to the ads server 103a. As discussed above, the second advertisement request message 5.7 and the forwarding message 5.8 include an indication that an advertisement response is required. This is the trigger for the first ad server 103a to progress from step S5 onto step S7. Upon receipt of the forwarding message 5.8, the ads server 103a performs step S7 of FIG. 4, and optionally performs steps S6 and S8 as appropriate. The advertisement response is then prepared in the ads server 103a and is sent as an advertisement response message 5.9 to the load balancer 104. The load balancer 104 upon receiving the advertisement response message 5.9 forwards 5.10 the advertisement response message to the front end 101. The payload of the forwarded message 5.10 is the same as the payload of the advertisement response message 5.9 but the headers are different. After sending the advertisement response message 5.9, the ads server 103a knows that the connection with the load balancer 104 for this particular session is no longer required. Consequently, it sends a close message 5.11 to the load balancer 104a. In response to receiving the close message 5.11, the load balancer 104 sends a close message 5.12 to the front end 101. This requests closing of the connection between the load balancer 104 and the front end 101. In response to receiving the close message 5.12, the front end 101 sends a close message 5.13 to the load balancer 104. Once the close message 5.13 is received at the load balancer, the connection between the front end 101 and the load balancer 104 is closed, or put another way the session is terminated. The load balancer 104 closes the session with the first ad server 103a by sending a close message 5.14 to the first ad server 103a. Upon receiving the close message 5.14 at the first ad server 103a, the session between the load balancer 104 and the first ad server 103a is closed. The close message 5.14 may be sent from the load balancer 104 to the first ad server 103a in response to receiving the closed message 5.13 from the front end 101. Alternatively, it may be sent in response to receiving the close message 5.11 from the first ad server 103a. Each of the front end 101, the load balancer 104 and the first ad server 103a are configured to implement timeouts. When a message is not received with a predetermined period, set by a timeout value, it is determined that a problem has occurred and the connection is closed/the session is terminated. The termination of the session between the load balancer 104 and the first ad server 103a necessarily results in the load balancer 104 failing to provide one of the expected messages to the front end 101. As such, the front end 101 also experiences a timeout and closes the connection session between the front end 101 and the load balancer 104. The corresponding situation applies where the load balancer 104 does not receive a message from the front end 101 within a timeout period, resulting in termination also of the connection between the load balancer 104 and the ads server 103a. Similarly, the front end 101 is responsive to determining that a message has not been received from the load balancer 104 within a predetermined timeout period to close the connection between the front end 101 and the load balancer 104. A consequence of this is that the session between the load balancer 104 and the first ad server 103a is also terminated. The ads server 103a is configured in a corresponding manner to behave in a corresponding way. Using the scheme of FIG. 5, the front end 101 does not need to be aware of the identity of the first ad server 103a nor does it need to know its network address. Instead, the load balancer 104 conducts all needed communication with the first ad server 103a. All that the front end 101 needs to know is the session identifier relating to the communication session between the front end 101 and the load balancer 104. Similarly, the first ad server 103a does not need to know the identity of the front end 101, nor does it need to know its network address. All that the ads server 103a needs to know is the session identifier relating to the communication session between the ads server 103a and the load balancer 104. FIG. 6 illustrates schematically some internal components of the front end 101 and the ads server 103a. The other ads servers 103b to 103d are substantially the same as the first ads server 103a. The front end 101 includes at least one processor 101P, at least one volatile memory 101V and at least one non-volatile memory 101N. Each is connected to a bus 101B. Within the non-volatile memory 101N are stored an operating system OS and one or more software applications App. The non-volatile memory may be read only memory (ROM), such as for instance a hard disk drive, or flash memory, optical storage, tape storage etc. Other non-volatile memories may be included, and are illustrated schematically in the figure. The volatile memory 101V may be for instance random access memory (RAM), flash memory etc. Multiple volatile memories may be included, and are illustrated in FIG. 6. The processor 101P may for instance be a general purpose processor. It may be a single core device or a multiple core device. The processor 101P may be a central processing unit (CPU) or a general processing unit (GPU). Alternatively, it may be a more specialist unit, for instance a RISC processor or programmable hardware with embedded firmware. Multiple processors 101P may be included in the front end 101, and are illustrated as such in FIG. 6. The processor 101P may be termed processing means. Generally speaking, the processor 101P executes one or more applications App using the operating system OS, both of which are stored permanently or semi-permanently in the non-volatile memory 101N, using the volatile memory 101V temporarily to store software forming a whole or part of the operating system OS and the applications App and also temporarily to store data generated during execution of the software. The first ads server 103a is similarly constructed. In particular, the first ads server 103a includes at least one processor 101P, at least one volatile memory 101V and at least one non-volatile memory 101N. Each is connected to a bus 101B. Within the non-volatile memory 103N are stored an operating system OS and one or more software applications App. The non-volatile memory may be read only memory (ROM), such as for instance a hard disk drive, or flash memory, optical storage, tape storage etc. Other non-volatile memories may be included, and are illustrated schematically in the figure. The volatile memory 103V may be for instance random access memory (RAM), flash memory etc. Multiple volatile memories may be included, and are illustrated in FIG. 6. The processor 103P may for instance be a general purpose processor. It may be a single core device or a multiple core device. The processor 103P may be a central processing unit (CPU) or a general processing unit (GPU). Alternatively, it may be a more specialist unit, for instance a RISC processor or programmable hardware with embedded firmware. Multiple processors 103P may be included in the first ads server 103a, and are illustrated as such in FIG. 6. The processor 103P may be termed processing means. Generally speaking, the processor 103P executes one or more applications App using the operating system OS, both of which are stored permanently or semi-permanently in the non-volatile memory 103N, using the volatile memory 103V temporarily to store software forming a whole or part of the operating system OS and the applications App and also temporarily to store data generated during execution of the software. It will be appreciated that the above-described embodiments are not limiting on the scope of the invention, which is defined by the appended claims and their alternatives. Various alternative implementations will be envisaged by the skilled person, and all such alternatives are intended to be within the scope of the claims. For instance, although in the above it is the second advertisement request that includes an indication that a response is required, the invention is not limited to this. In other implementations, it is a third or subsequent request that includes the indication that a response is required. The second request may include further information that can be used in the search and/or ranking of candidate advertisements, in which case the modifying of the score of advertisements may be a two-stage process. Embodiments of the present invention may be implemented in software, hardware, application logic or a combination of software, hardware and application logic. The software, application logic and/or hardware may reside on memory, or any computer media. In an example embodiment, the application logic, software or an instruction set is maintained on any one of various conventional computer-readable media. In the context of this document, a “computer-readable medium” may be any media or means that can contain, store, communicate, propagate or transport the instructions for use by or in connection with an instruction execution system, apparatus, or device, such as a computer. A computer-readable medium may comprise a computer-readable storage medium that may be any tangible media or means that can contain or store the instructions for use by or in connection with an instruction execution system, apparatus, or device, such as a computer as defined previously. According to various embodiments of the previous aspect of the present invention, the computer program according to any of the above aspects, may be implemented in a computer program product comprising a tangible computer-readable medium bearing computer program code embodied therein which can be used with the processor for the implementation of the functions described above. Reference to “computer-readable storage medium”, “computer program product”, “tangibly embodied computer program” etc, or a “processor” or “processing circuit” etc. should be understood to encompass not only computers having differing architectures such as single/multi processor architectures and sequencers/parallel architectures, but also specialised circuits such as field programmable gate arrays FPGA, application specify circuits, and other devices. References to computer program, instructions, code etc. should be understood to express software for a programmable processor firmware such as the programmable content of a hardware device as instructions for a processor or configured or configuration settings for a fixed function device, gate array, programmable logic device, etc. If desired, the different functions discussed herein may be performed in a different order and/or concurrently with each other. Furthermore, if desired, one or more of the above-described functions may be optional or may be combined. Although various aspects of the invention are set out in the independent claims, other aspects of the invention comprise other combinations of features from the described embodiments and/or the dependent claims with the features of the independent claims, and not solely the combinations explicitly set out in the claims.",G06Q300246,G06Q3002,20160129,,20160616,61492.0 7,15001537,ACCEPTED,METHODS AND SYSTEMS FOR ADMISSION CONTROL IN D2D COMMUNICATION IN A WIRELESS BROADBAND NETWORK,"This disclosure relates generally to wireless communication systems, and more particularly to methods and systems for admission control in D2D communication in a wireless broadband network. In one embodiment, a method is disclosed for admission control in device-to-device communication in a wireless broadband network. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request.","1. A system for admission control in device-to-device communication in a wireless broadband network, comprising: a hardware processor; and a memory storing instructions executable by the hardware processor for: receiving, via the hardware processor, proximity-based device-to-device discovery requests; classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins; determining, via the hardware processor, priority levels for the bins; selecting, via the hardware processor, one of the bins as having a highest priority level; identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. 2. The system of claim 1, wherein the proximity-based device-to-device discovery requests are configured according to a 3GPP Long-Term Evolution standard. 3. The system of claim 1, wherein classifying, via the hardware processor, at least one of the received proximity-based device-to-device discovery requests into one of the bins is based on: a criticality of a device-to-device communication related to the at least one received proximity-based device-to-device discovery request, whether the device-to-device communication is broadcast or unicast in nature, or whether the device-to-device communication is real-time in nature. 4. The system of claim 1, wherein classifying, via the hardware processor, at least one of the received proximity-based device-to-device discovery requests into one of the bins further comprises: restricting, via the hardware processor, a number of proximity-based device-to-device discovery requests per bin based on a system capacity threshold per bin. 5. The system of claim 1, the memory further storing instructions for: triggering, via the hardware processor, the step of classifying the received proximity-based device-to-device discovery requests into bins based on a periodicity timer. 6. The system of claim 1, wherein determining, via the hardware processor, priority levels for the bins comprises; calculating, via the hardware processor, a composite hierarchical classification index for each bin based on a weight sum of: a number of proximity-based device-to-device discovery requests in the bin, a number of proximity-based device-to-device discovery requests dropped from the bin, and a system capacity threshold for the bin; and sorting, via the hardware processor, the bins by priority according to the calculated composite hierarchical classification index for each bin. 7. The system of claim 1, wherein generating, via the hardware processor, the proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request comprises: calculating, via the hardware processor, a validity timer value as a ratio of a default timer value of a bin corresponding to the identified proximity-based device-to-device discovery request to a system capacity threshold for the bin; and generating, via the hardware processor, the proximity-based device-to-device discovery response to include the validity timer value. 8. A method for admission control in device-to-device communication in a wireless broadband network, comprising: receiving, via a hardware processor, proximity-based device-to-device discovery requests; classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins; determining, via the hardware processor, priority levels for the bins; selecting, via the hardware processor, one of the bins as having a highest priority level; identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based de-vice-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. 9. The method of claim 8, wherein the proximity-based device-to-device discovery requests are configured according to a 3GPP Long-Term Evolution standard. 10. The method of claim 8, wherein classifying, via the hardware processor, at least one of the received proximity-based device-to-device discovery requests into one of the bins is based on: a criticality of a device-to-device communication related to the at least one received proximity-based device-to-device discovery request, whether the device-to-device communication is broadcast or unicast in nature, or whether the device-to-device communication is real-time in nature. 11. The method of claim 8, wherein classifying, via the hardware processor, at least one of the received proximity-based device-to-device discovery requests into one of the bins further comprises: restricting, via the hardware processor, a number of proximity-based device-to-device discovery requests per bin based on a system capacity threshold per bin. 12. The method of claim 8, further comprising: triggering, via the hardware processor, the step of classifying the received proximity-based device-to-device discovery requests into bins based on a periodicity timer. 13. The method of claim 8, wherein determining, via the hardware processor, priority levels for the bins comprises: calculating, via the hardware processor, a composite hierarchical classification index for each bin based on a weight sum of: a number of proximity-based device-to-device discovery requests in the bin, a number of proximity-based device-to-device discovery requests dropped from the bin, and a system capacity threshold for the bin; and sorting, via the hardware processor, the bins by priority according to the calculated composite hierarchical classification index for each bin. 14. The method of claim 8, wherein generating, via the hardware processor, the proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request comprises: calculating, via the hardware processor, a validity timer value as a ratio of a default timer value of a bin corresponding to the identified proximity-based device-to-device discovery request to a system capacity threshold for the bin; and generating, via the hardware processor, the proximity-based device-to-device discovery response to include the validity timer value. 15. A non-transitory computer-readable medium storing processor-executable instructions for admission control in device-to-device communication in a wireless broadband network, the instructions comprising instructions for: receiving, via a hardware processor, proximity-based device-to-device discovery requests; classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins; determining, via the hardware processor, priority levels for the bins; selecting, via the hardware processor, one of the bins as having a highest priority level; identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. 16. The medium of claim 15, wherein the proximity-based device-to-device discovery requests are configured according to a 3GPP Long-Term Evolution standard. 17. The medium of claim 15, wherein classifying, via the hardware processor, at least one of the received proximity-based device-to-device discovery requests into one of the bins is based on: a criticality of a device-to-device communication related to the at least one received proximity-based device-to-device discovery request, whether the device-to-device communication is broadcast or unicast in nature, or whether the device-to-device communication is real-time in nature. 18. The medium of claim 15, wherein classifying, via the hardware processor, at least one of the received proximity-based device-to-device discovery requests into one of the bins further comprises: restricting, via the hardware processor, a number of proximity-based device-to-device discovery requests per bin based on a system capacity threshold per bin. 19. The medium of claim 15, further storing instructions for: triggering, via the hardware processor, the step of classifying the received proximity-based device-to-device discovery requests into bins based on a periodicity timer. 20. The medium of claim 15, wherein determining, via the hardware processor, priority levels for the bins comprises: calculating, via the hardware processor, a composite hierarchical classification index for each bin based on a weight sum of: a number of proximity-based device-to-device discovery requests in the bin, a number of proximity-based device-to-device discovery requests dropped from the bin, and a system capacity threshold for the bin; and sorting, via the hardware processor, the bins by priority according to the calculated composite hierarchical classification index for each bin. 21. The medium of claim 15, wherein generating, via the hardware processor, the proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request comprises: calculating, via the hardware processor, a validity timer value as a ratio of a default timer value of a bin corresponding to the identified proximity-based device-to-device discovery request to a system capacity threshold for the bin; and generating, via the hardware processor, the proximity-based device-to-device discovery response to include the validity timer value."," BACKGROUND Device-to-device (“D2D”) communications have been considered one of the key techniques in the 3 rd Generation Partnership Project (3GPP) Long Term Evolution Advanced (LTE-A) standards, where it provides direct communication among pieces of user equipment (“UEs”) in close proximity. As per the 3GPP standard TS 23.303 Release 12, D2D communication among UEs is enabled by a Proximity Service (“ProSe”) function server. The inventors here have recognized several technical problems with such conventional systems, as explained below. In 3GPP standard TS 23.303 Release 12, there is no admission control mechanism for D2D communications among UEs. Since there is no admission control mechanism, the existing ProSe function server as provided in 3GPP standard TS 23.303 Release 12 gets overloaded when the number of devices and number of simultaneous D2D communication links increases in a network. This results in packet drop and decreases Quality of Service (“QoS”). In a scenario where several D2D discovery request messages from multiple UEs arrive at the ProSe function server, the signaling load at the ProSe function server increases. Also, if the ProSe function server fails to respond to received discovery request messages, then the D2D-enabled UEs cannot perform their communication, hence causing a loss of QoS. Currently, the ProSe function server honors discovery requests solely based on subscription information (entitlement) of each UE, which leads to the following limitations. First, the ProSe function server is not designed to handle multiple discovery requests at any instant. This means that the ProSe function server will honor a single discovery request at any instant, and refuse/drop the rest, thus not allowing many initiator UEs to start D2D communication. Second, the ProSe function server fails to differentiate among discovery requests from UEs with different levels of criticality. This may result in allowance of D2D communication to an incorrect/improper initiator UE and dropping of a more critical initiator UE out of the set of initiator UEs that had sent discovery requests simultaneously at any instant. However, for maintaining the QoS of initiator UEs in a network, it is desired for the ProSe function server to have the following capabilities. First, it it desired that the ProSe function server be capable of handling multiple discovery requests at any instant. Second, it is desired that the ProSe function server be capable of differentiating among discovery requests coming from initiator UEs with different levels of criticality, and ensuring that the most appropriate ones are allowed in order to maintain QoS."," SUMMARY Embodiments of the present disclosure present technological improvements as solutions to one or more of the above-mentioned technical problems recognized by the inventors in conventional systems. For example, in one embodiment, a system is disclosed for admission control in device-to-device communication in a wireless broadband network, comprising a hardware processor and a memory storing instructions executable by the hardware processor for performing a method. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. In another embodiment, a method is disclosed for admission control in device-to-device communication in a wireless broadband network. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. In yet another embodiment, a non-transitory computer-readable medium is disclosed storing processor-executable instructions for admission control in device-to-device communication in a wireless broadband network, the instructions comprising instructions for performing a method. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed.","PRIORITY CLAIM This U.S. patent application claims priority under 35 U.S.C. §119 to India Application No. TBD, filed DATE. The entire contents of the aforementioned application are incorporated herein by reference. TECHNICAL FIELD This disclosure relates generally to wireless communication systems, and more particularly to methods and systems for admission control in D2D communication in a wireless broadband network. BACKGROUND Device-to-device (“D2D”) communications have been considered one of the key techniques in the 3rd Generation Partnership Project (3GPP) Long Term Evolution Advanced (LTE-A) standards, where it provides direct communication among pieces of user equipment (“UEs”) in close proximity. As per the 3GPP standard TS 23.303 Release 12, D2D communication among UEs is enabled by a Proximity Service (“ProSe”) function server. The inventors here have recognized several technical problems with such conventional systems, as explained below. In 3GPP standard TS 23.303 Release 12, there is no admission control mechanism for D2D communications among UEs. Since there is no admission control mechanism, the existing ProSe function server as provided in 3GPP standard TS 23.303 Release 12 gets overloaded when the number of devices and number of simultaneous D2D communication links increases in a network. This results in packet drop and decreases Quality of Service (“QoS”). In a scenario where several D2D discovery request messages from multiple UEs arrive at the ProSe function server, the signaling load at the ProSe function server increases. Also, if the ProSe function server fails to respond to received discovery request messages, then the D2D-enabled UEs cannot perform their communication, hence causing a loss of QoS. Currently, the ProSe function server honors discovery requests solely based on subscription information (entitlement) of each UE, which leads to the following limitations. First, the ProSe function server is not designed to handle multiple discovery requests at any instant. This means that the ProSe function server will honor a single discovery request at any instant, and refuse/drop the rest, thus not allowing many initiator UEs to start D2D communication. Second, the ProSe function server fails to differentiate among discovery requests from UEs with different levels of criticality. This may result in allowance of D2D communication to an incorrect/improper initiator UE and dropping of a more critical initiator UE out of the set of initiator UEs that had sent discovery requests simultaneously at any instant. However, for maintaining the QoS of initiator UEs in a network, it is desired for the ProSe function server to have the following capabilities. First, it it desired that the ProSe function server be capable of handling multiple discovery requests at any instant. Second, it is desired that the ProSe function server be capable of differentiating among discovery requests coming from initiator UEs with different levels of criticality, and ensuring that the most appropriate ones are allowed in order to maintain QoS. SUMMARY Embodiments of the present disclosure present technological improvements as solutions to one or more of the above-mentioned technical problems recognized by the inventors in conventional systems. For example, in one embodiment, a system is disclosed for admission control in device-to-device communication in a wireless broadband network, comprising a hardware processor and a memory storing instructions executable by the hardware processor for performing a method. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. In another embodiment, a method is disclosed for admission control in device-to-device communication in a wireless broadband network. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. In yet another embodiment, a non-transitory computer-readable medium is disclosed storing processor-executable instructions for admission control in device-to-device communication in a wireless broadband network, the instructions comprising instructions for performing a method. The method may comprise receiving, via the hardware processor, proximity-based device-to-device discovery requests; and classifying, via the hardware processor, the received proximity-based device-to-device discovery requests into bins. The method may further include determining, via the hardware processor, priority levels for the bins; and selecting, via the hardware processor, one of the bins as having a highest priority level. The method may also include identifying in a first-in-first-out manner, via the hardware processor, one of the proximity-based device-to-device discovery requests classified into the selected bin; and generating and providing, via the hardware processor, a proximity-based device-to-device discovery response to the identified proximity-based device-to-device discovery request. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed. BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in and constitute a part of this disclosure, illustrate exemplary embodiments and, together with the description, serve to explain the disclosed principles. FIG. 1 is a block diagram illustrating aspects of a prior art wireless communication system. FIG. 2 is a block diagram illustrating aspects of a prior art proximity-based services (ProSe) function server. FIG. 3 is a flow diagram illustrating a prior art method for device-to-device (“D2D”) communication in using a ProSe service. FIG. 4 is a block diagram illustrating aspects of an improved wireless communication system according to some embodiments. FIG. 5 is a block diagram illustrating aspects of an improved proximity-based services (ProSe) function server according to some embodiments. FIG. 6 is a block diagram illustrating aspects of an admission control system for a ProSe function server according to sonic embodiments. FIG. 7 is a flow diagram illustrating a method for admission control in D2D communication in a wireless broadband network according to some embodiments. FIG. 8 is a flow diagram illustrating a method for classifying D2D discovery requests according to some embodiments. FIG. 9 is a flow diagram illustrating a method for differential processing of D2D discovery requests according to some embodiments. FIG. 10 is a block diagram of an exemplary computer system for implementing embodiments consistent with the present disclosure. DETAILED DESCRIPTION Exemplary embodiments are described with reference to the accompanying drawings. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. Wherever convenient, the same reference numbers are used throughout the drawings to refer to the same or like parts. While examples and features of disclosed principles are described herein, modifications, adaptations, and other implementations are possible without departing from the spirit and scope of the disclosed embodiments. It is intended that the following detailed description be considered as exemplary only, with the true scope and spirit being indicated by the following claims. Embodiments of the present disclosure provide an improved ProSe function enabled with D2D admission control in a wireless broadband network that has the ability to process multiple DRs of different levels of criticality, at any instant, and ensure that the most appropriate ones are allowed in order to maintain QoS. Further, in some embodiments, the D2D admission control (D2DAC) mechanism is able to handle multiple discovery requests by classifying each discovery request in a composite hierarchical manner and buffering them. Pending discovery requests are not outright rejected. Instead, the ProSe function server is capable of maintaining a backlog of discovery requests that will considered for processing in a subsequent round of processing. Further, in some embodiments, the D2DAC can perform classification of received discovery requests in a composite hierarchical manner based on the criticality, D2D-communication-mode (e.g., 1-to-1, 1-to-many) and application-need (real-time/non-real-time). This classification may be represented in the form of a composite-hierarchical-classification-index (“CHCI”). Processing of the discovery requests may be performed by the D2DAC based on the CHCI values. FIG. 1 is a block diagram illustrating aspects of a prior art wireless communication system 100. In some embodiments, connected to the broader Internet 110 may be an evolved packet core (“EPC”) 120. One or more pieces of user equipment (UEs) 133, 134, 15, and 136 may be connected to the EPC 120 over a E-UTRAN network 130. A Macro Evolved NodeB station eNB1 132 may serve as a base station for LTE radio. The EPC 120 may be composed of four network elements: the Serving Gateway (Serving GW 123, 124), the public data network (“PDN”) Gateway (PDN GW 121), the MME (123, 124) and the Home Subscriber Server (“HSS”) 125. The gateways (Serving GW and PDN GW) deal with the user plane. They transport the IP data traffic between the User Equipment (UE) and the external networks. The Serving GW 123, 124 is the point of interconnect between the radio-side and the EPC. As its name indicates, this gateway serves the UE by routing the incoming and outgoing IP packets. The PDN GW 121 is the point of interconnect between the EPC and the external IP networks. These networks are called PDN (Packet Data Network), hence the name. The PDN GW 121 routes packets to and from the PDNs. The PDN GW 121 also performs various functions such as IP address/IP prefix allocation or policy control and charging. The MME (for Mobility Management Entity) 123, 124 deals with the control plane. It handles the signalling related to mobility and security for E-UTRAN access. The MME is responsible for the tracking and the paging of UE in idle-mode. It is the termination point of the Non-Access Stratum (NAS). The HSS 125 may be a database that contains user-related and subscriber-related information. It also may provide support functions in mobility management, call and session setup, user authentication and access authorization. The EPC is connected to the external networks, which can include the IP Multimedia Core Network Subsystem (IMS). Also, the wireless communication system 100 may include a Policy and Charging Rules Function (“PCRF”) 122, which may be a software node designated in real-time to determine policy rules in a multimedia network. As a policy tool, the PCRF 122 may plays a central role in next-generation networks. Unlike earlier policy engines that were added onto an existing network to enforce policy, the PCRF 122 is usually a software component that operates at the network core and accesses subscriber databases and other specialized functions, such as a charging system, in a centralized manner. A ProSe application server 126 and a ProSe function server 131 may provided proximity-based services for UEs. The ProSe application server 126 may support capabilities for storage and mapping of application and user identifiers. Specific application level signaling between the ProSe application server 126 and the ProSe application may be done over PC1. The ProSe application server 126 may interact with the ProSe function server 131 over the PC2 reference point. The ProSe function server 131 may perform a logical function that is used for network related actions required for ProSe. It may consist of three main sub-functions that perform different roles depending on the ProSe feature, as explained below with reference to FIG. 2. FIG. 2 is a block diagram illustrating aspects of a prior art proximity-based services (ProSe) function server 131. In some embodiments, a ProSe function server 131 may include hardware 240 (see, e.g., FIG. 10 for a description of exemplary hardware and software components), an IP transport layer 230 for transport of packets through an Internet Protocol, and an interface layer 220 (e.g., PC3, PC4a, PC4b, PC6, PC7). Further, the ProSe function server 131 may include a ProSe functional layer 210, which may include a direct provisioning module 212, a direct discovery name management module 214, and a EPC level discovery module 216. The direct provisioning module 212 may be used to provision a UE with necessary parameters in order to use ProSe direct discovery and ProSe direct communication. The UEs may be provisioned with public land mobile network (“PLMN”)-specific parameters allowing them to use ProSe in a specific PLMN. This can include information such as a list of PLMNs in which a Use can perform direct discovery and parameters needed for direct communication when the UE is out of network coverage. Direct discovery name management module 214 may be used for open ProSe direct discovery to allocate and process the mapping of ProSe application IDs and ProSe application codes. It may use ProSe-related subscriber data stored in a Home Subscriber Server (“HSS”) for authorization of each discovery request. It may also provide the UE with necessary security data to protect discovery messages exchanged over the air interface. EPC level discovery module 216 may be used to provide network-assisted discovery using location information to UEs. FIG. 3 is a flow diagram illustrating a prior art method 300 for device-to-device (“D2D”) communication in using a ProSe service. In some embodiments, a UE 310 may utilize a ProSe application ID configuration 320 to generate a D2D discovery request 330, e.g., including the ProSe application ID, UE identity, an application IP, and an “ANNOUNCE” command. A ProSe function server 312 may receive the discovery request, and may initiate a discovery authorization sequence with Home Subscriber Server (“HSS”) 314 for authorization of each discovery request. Based on the authorization sequence, the ProSe function sever 312 may generate a discovery response message 350, including a ProSe application code and a validity timer, for UE 310. Based on the discovery response message 350, UE 310 a=may engage in radio resource allocation 360 for D2D communication. FIG. 4 is a block diagram illustrating aspects of an improved wireless communication system 400 according to some embodiments. In some embodiments, system 400 may have similar components 110-136 as described above with regard to the prior art system of FIG. 1. System 400, however, may further include an improved ProSe function server 431 including a new module named “D2D Admission Control (D2DAC).” A description of the D2DAC may proceed with reference to FIG. 5. FIG. 5 is a block diagram illustrating aspects of an improved proximity-based services (ProSe) function server 431 according to some embodiments. As shown in FIG. 5, the ProSe function server 431 may include similar components 210-240 as described above with respect to the prior art system 131 of FIG. 2. In addition, however, the ProSe function server may include a (D2DAC) admission control module 518. D2DAC 518 may interface with a craft-person via a command-line interface for receiving configuration details during startup of the system. Once D2DAC 518 receives the configuration details, those details may be stored into the D2DAC 518's persistent-memory. During the steady state of the ProSe system, D2DAC 518 may predominantly interact with Interface Layer 220 for performing admission control by analyzing discovery request messages. The system architecture of an exemplary embodiment of the proposed “D2D Admission Control” module is shown in FIG. 6. FIG. 6 is a block diagram illustrating aspects of an admission control system 600 for a ProSe function server according to some embodiments. In some embodiments, a D2DAC module 630 may be responsible for performing admission control of D2D UEs by analyzing each of the discovery request messages received from the interface layer 620. A configuration module 632 may be responsible for storing the environment and configuration parameters required by all other modules of the D2DAC. The D2DAC may obtain its configuration parameters from the craft-person via the command line interface 610 and store the configuration parameters in its own local data structures. Examples of configuration parameters sored include those described below. In some embodiments, each D2D discovery request message may be categorized into one or more application category bins. A system capacity threshold may be defined per application category bin (SCthreshold), which may be a threshold value received from command line interface 610 during system initialization. This value may be used to restrict the number of D2D devices requesting for discovery announcement per application category bin. The system capacity threshold may be used by decision module 638. For example, if there are if there are five bins defined, then five different system capacity threshold values may be obtained, as shown below: SCthreshold(1), SCthreshold(2), SCthreshold(3), SCthreshold(4), SCthreshold(5) A periodicity timer value may be defined (Tthreshold), which may be a periodic timer received from command line interface 610 during system initialization. This value may be used to trigger the initiation of calculations related to each of the application category bins. The periodicity timer value may be used by decision module 638. For example, if there are five application category bins defined, then a single periodicity timer value may be obtained. (Tthreshold(1)). In some embodiments, a classification module 636 may be responsible for classification of received discovery requests in a composite hierarchical manner. The classification module 636 may dynamically classify the packets coming from interface layer 620 based on the criticality, D2D-communication-mode (e.g., 1-to-1, 1-to-many) and application-need (e.g., real-time/non-real-time). This classification may be represented in the form of a composite-hierarchical-classification-index (CHCI), calculated by a parameter calculation module 634. The parameter calculation module 634 may also calculate a validity timer for a selected request message, to facilitate the admission control system 600 to respond back to the UE with a discovery response message. In some embodiments, a decision module 638 may dynamically select the application category bin from which the D2D request message is selected for processing. The decision module 638 may use the parameter calculation module 634 to calculate the composite-hierarchical-classification-index (CHCI) for each application category bin. After determining the CHCI values, the application category bin with the highest CHCI may be selected, from which the request is selected to be honored. FIG. 7 is a flow diagram illustrating a method 700 for admission control in D2D communication in a wireless broadband network according to some embodiments. In some embodiments, at step 710, a ProSe function server 431 may receive one or more D2D discovery request messages from one or more UEs (e.g., UE2 134). The ProSe functional server 431 may invoke an admissions control module 518 within a ProSe functional layer 210. The admissions control module 518 may include an D2D admission control module 630, which includes a classification module 636. At step 720, classification module 636 may classify the discovery requests into one or more application category bins, as explained with reference to FIG. 8. FIG. 8 is a flow diagram illustrating a method 800 for classifying D2D discovery requests according to some embodiments. In some embodiments, a classification module 636 may extract an application code and UE identity from a discovery request. The classification module 636 may then check whether the UE with UE identity is subscribed for D2D direct discovery. If not, then the discovery request may be dropped. Further, the classification module 636 may then check whether the application represented by the application ID is authorized for direct discovery. If not, then the discovery request may be dropped. In some embodiments, at step 805, classification module 636 may determine whether a received discovery request is considered mission critical (e.g., related to an emergency or high-priority situation). If so, classification module 636 may classify the discovery request into an application category 1 bin 810. If the classification module 636 determines that the received discovery request is not considered mission critical, the classification module 636 may, at step 815, determine whether the received discovery request is for broadcast (e.g., 1-to-many) or unicast (e.g., 1-to-1) communication. If the discovery request is for broadcast communication, at step 820, the classification module 636 may determine whether the communication type is real-time or not. If the communication type is real-time, the classification module 636 may classify the received discovery request into an application category 2 bin 825; otherwise, the classification module 636 may classify the received discovery request into an application category 3 bin 830. If the discovery request is for unicast communication, at step 835, the classification module 636 may determine whether the communication type is real-time or not. If the communication type is real-time, the classification module 636 may classify the received discovery request into an application category 4 bin 840; otherwise, the classification module 636 may classify the received discovery request into an application category 5 bin 845. Returning to FIG. 7, at step 730, a parameter calculation module 634 to calculate a composite-hierarchical-classification-index (CHCI) for each application category bin, as explained with reference to FIG. 9. Further, at step 740, a decision module 638 may perform differential processing of the received discovery requests, as also explained with reference to FIG. 9. FIG. 9 is a flow diagram illustrating a method 900 for differential processing of D2D discovery requests according to some embodiments. At step 910, decision module 638 may determine whether the application category 1 bin is empty. If it is not empty, at step 920, decision module 638 may select application category 1 bin, and select a discovery request message from application category 1 bin for processing. For example, decision module 638 may employ a first-in-first-out (“FIFO”) principle in selecting a discovery request message from the application category 1 bin for processing (see step 960). If the application category 1 bin is empty, at step 930, decision module 638 may invoke parameter calculation module 634 to calculate a composite-hierarchical-classification-index (CHCI) for each non-empty application category bin (e.g., application category 2-5 bins). For example, parameter calculation module 634 may calculate the CHCI value for each non-empty application category bin at every periodicity timer (Tthreshold), using parameters like: (1) number of pending requests in the bin; (2) number of direct discovery requests dropped from the bin; and (3) system capacity threshold for each of the application category bins. For example, parameter calculation module 634 may calculate the CHCI value for each non-empty application category bin as a weighted sum of the above parameters. At step 940, decision module 638 may sort the application category bins in descending order of their associated CHCI values. At step 950, decision module 638 may select the application category bin with the highest CHCI value. At step 960, decision module 638 may select a discovery request message for processing from the bin selected in step 920 or step 950. For example, decision module 638 may employ a first-in-first-out (“FIFO”) principle in selecting a discovery request message from the selected application category bin for processing (see step 960). Returning to FIG. 7, at step 750, D2D admission control module 630 may generate and provide a discovery response to the selected discovery request(s) in e.g., accordance with the 3GPP Release 12 standard. Accordingly, in some embodiments, some advantages obtained may be as follows: Multiple DR Processing: In sonic embodiments, the D2D Admission Control (D2DAC) mechanism may be able to handle multiple discovery requests by classifying each discovery request in a composite hierarchical manner and buffering the discovery requests. Pending discovery requests may not be outright rejected. A backlog of discovery requests may be maintained that may be considered for processing in a subsequent cycle. Differential Handling Based on Classification: In some embodiments, the D2DAC may perform classification of received discovery requests in a composite hierarchical manner based on the criticality, D2D-communication-mode (1-to-1, 1-to-many) and application-need (real-time/non-real-time). This classification may be represented in the form of composite-hierarchical-classification-index (CHCI). Processing of the discovery requests may be performed by the D2DAC based on CHCI for effective differential handling of DR in D2D communication. Computer System FIG. 10 is a block diagram of an exemplary computer system for implementing embodiments consistent with the present disclosure. Variations of computer system 1001 may be used for implementing the devices and systems disclosed herein. Computer system 1001 may comprise a central processing unit (“CPU” or “processor”) 1002. Processor 1002 may comprise at least one data processor for executing program components for executing user- or system-generated requests. A user may include a person a person using a device such as those included in this disclosure, or such a device itself. The processor may include specialized processing units such as integrated system (bus) controllers, memory management control units, floating point units, graphics processing units, digital signal processing units, etc. The processor may include a microprocessor, such as AMD Athlon, Duron or Opteron, ARM's application, embedded or secure processors. IBM PowerPC, Intel's Core, Itanium, Xeon, Celeron or other line of processors, etc. The processor 1002 may be implemented using mainframe, distributed processor, multi-core, parallel, grid, or other architectures. Some embodiments may utilize embedded technologies like application-specific integrated circuits (ASICs), digital signal processors (DSPs), Field Programmable Gate Arrays (FPGAs), etc. Processor 1002 may be disposed in communication with one or more input/output (I/O) devices via I/O interface 1003. The I/O interface 1003 may employ communication protocols/methods such as, without limitation, audio, analog, digital, monoaural, RCA, stereo, IEEE-1394, serial bus, universal serial bus (USB), infrared, PS/2, BNC, coaxial, component, composite, digital visual interface (DVI), high-definition multimedia interface (HDMI), RF antennas, S-Video, VGA, IEEE 802.11 a/b/g/n/x, Bluetooth, cellular (e.g., code-division multiple access (CDMA), high-speed packet access (HSPA+), global system for mobile communications (GSM), long-term evolution (LTE), WiMax, or the like), etc. Using the I/O interface 1003, the computer system 1001 may communicate with one or more I/O devices. For example, the input device 1004 may be an antenna, keyboard, mouse, joystick, (infrared) remote control, camera, card reader, fax machine, dongle, biometric reader, microphone, touch screen, touchpad, trackball, sensor (e.g., accelerometer, light sensor, GPS, gyroscope, proximity sensor, or the like), stylus, scanner, storage device, transceiver, video device/source, visors, etc. Output device 1005 may be a printer, fax machine, video display (e.g., cathode ray tube (CRT), liquid crystal. display (LCD), light-emitting diode (LED), plasma, or the like), audio speaker, etc. In some embodiments, a transceiver 1006 may be disposed in connection with the processor 1002. The transceiver may facilitate various types of wireless transmission or reception. For example, the transceiver may include an antenna operatively connected to a transceiver chip (e.g., Texas Instruments WiLink WL1283, Broadcom BCM47501UB8, Infineon Technologies X-Gold 618-PMB9800, or the like), providing IEEE 802.11a/b/g/n, Bluetooth, FM, global positioning system (GPS), 2G/3G HSDPA/HSUPA communications, etc. In some embodiments, the processor 1002 may be disposed in communication with a communication network 1008 via a network interface 1007. The network interface 1007 may communicate with the communication network 1008. The network interface may employ connection protocols including, without limitation, direct connect, Ethernet (e.g., twisted pair 10/100/1000 Base T), transmission control protocol/internet protocol (TCP/IP), token ring, IEEE 802.11a/b/g/n/x, etc. The communication network 1008 may include, without limitation, a direct interconnection, local area network (LAN), wide area network (WAN), wireless network (e.g., using Wireless Application Protocol), the Internet, etc. Using the network interface 1007 and the communication network 1008, the computer system 1001 may communicate with devices 1010, 1011, and 1012. These devices may include, without limitation, personal computer(s), server(s), fax machines, printers, scanners, various mobile devices such as cellular telephones, smartphones (e.g., Apple iPhone, Blackberry, Android-based phones, etc.), tablet computers, eBook readers (Amazon Kindle, Nook, etc.), laptop computers, notebooks, gaming consoles (Microsoft Xbox, Nintendo DS, Sony PlayStation, etc.), or the like. In some embodiments, the computer system 1001 may itself embody one or more of these devices. In some embodiments, the processor 1002 may be disposed in communication with one or more memory devices (e.g., RAM 1013, ROM 1014, etc,) via a storage interface 1012. The storage interface may connect to memory devices including, without limitation, memory drives, removable disc drives, etc., employing connection protocols such as serial advanced technology attachment (BATA), integrated drive electronics (IDE), IEEE-1394, universal serial bus (USE), fiber channel, small computer systems interface (SCSI), etc. The memory drives may further include a drum, magnetic disc drive, magneto-optical drive, optical drive, redundant array of independent discs (RAID), solid-state memory devices, solid-state drives, etc. Variations of memory devices may be used for implementing, for example, the databases disclosed herein. The memory devices may store a collection of program or database components, including, without limitation, an operating system 1016, user interface application 1017, web browser 1018, mail server 1019, mail client 1020, user/application data 1021 (e.g., any data variables or data records discussed in this disclosure), etc. The operating system 1016 may facilitate resource management and operation of the computer system 1001. Examples of operating systems include, without limitation, Apple Macintosh OS X, Unix, Unix-like system distributions (e.g., Berkeley Software Distribution (BSD), FreeBSD, NetBSD, OpenBSD, etc.), Linux distributions (e.g., Red Hat, Ubuntu, Kubuntu, etc.), IBM OS/2, Microsoft Windows (XP, Vista/7/8, etc.), Apple iOS, Google Android, Blackberry OS, or the like. User interface 1017 may facilitate display, execution, interaction, manipulation, or operation of program components through textual or graphical facilities. For example, user interfaces may provide computer interaction interface elements on a display system operatively connected to the computer system 1001, such as cursors, icons, check boxes, menus, scrollers, windows, widgets, etc. Graphical user interfaces (GUIs) may be employed, including, without limitation, Apple Macintosh operating systems' Aqua, IBM OS/2, Microsoft Windows (e.g., Aero, Metro, etc,), Unix X-Windows, web interface libraries (e.g., ActiveX, Java, JavaScript, AJAX, HTML, Adobe Flash, etc.), or the like. In some embodiments, the computer system 1001 may implement a web browser 1018 stored program component. The web browser may be a hypertext viewing application, such as Microsoft Internet Explorer, Google Chrome, Mozilla Firefox, Apple Safari, etc. Secure web browsing may be provided using HTTPS (secure hypertext transport protocol), secure sockets layer (SSL), Transport Layer Security (TLS), etc. Web browsers may utilize facilities such as AJAX, DHTML, Adobe Flash, JavaScript, Java, application programming interfaces (APIs), etc. In some embodiments, the computer system 1001 may implement a mail server 1019 stored program component. The mail server may be an Internet mail server such as Microsoft Exchange, or the like, The mail server may utilize facilities such as ASP, ActiveX, ANSI C++/C#, Microsoft .NET, CGI scripts, Java, JavaScript, PERL, PHP, Python, WebObjects, etc. The mail server may utilize communication protocols such as internet message access protocol (IMAP), messaging application programming interface (MAPI), Microsoft Exchange, post office protocol (POP), simple mail transfer protocol (SMTP), or the like. In some embodiments, the computer system 1001 may implement a mail client 1020 stored program component. The mail client may be a mail viewing application, such as Apple Mail, Microsoft Entourage, Microsoft Outlook, Mozilla Thunderbird, etc. In some embodiments, computer system 1001 may store user/application data 1021, such as the data, variables, records, etc. as described in this disclosure. Such databases may be implemented as fault-tolerant, relational, scalable, secure databases such as Oracle or Sybase. Alternatively, such databases may be implemented using standardized data structures, such as an array, hash, linked list, struct, structured text file (e.g., XML), table, or as object-oriented databases (e.g., using ObjectStore, Poet, Zope, etc.), Such databases may be consolidated or distributed, sometimes among the various computer systems discussed above in this disclosure. It is to be understood that the structure and operation of any computer or database component may be combined, consolidated, or distributed in any working combination. The specification has described methods and systems for admission control in D2D communication in a wireless broadband network. The illustrated steps are set out to explain the exemplary embodiments shown, and it should be anticipated that ongoing technological development will change the manner in which particular functions are performed. These examples are presented herein for purposes of illustration, and not limitation. Further, the boundaries of the functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternative boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed. Alternatives (including equivalents, extensions, variations, deviations, etc., of those described herein) will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein. Such alternatives fall within the scope and spirit of the disclosed embodiments. Also, the words “comprising,” “having,” “containing,” and “including,” and other similar forms are intended to be equivalent in meaning and be open ended in that an item or items following any one of these words is not meant to be an exhaustive listing of such item or items, or meant to be limited to only the listed item or items. It must also be noted that as used herein and in the appended claims, the singular forms “a,” “an,” and “the” include plural references unless the context clearly dictates otherwise. Furthermore, one or more computer-readable storage media may be utilized in implementing embodiments consistent with the present disclosure. A computer-readable storage medium refers to any type of physical memory on which information or data readable by a processor may be stored. Thus, a computer-readable storage medium may store instructions for execution by one or more processors, including instructions for causing the processor(s) to perform steps or stages consistent with the embodiments described herein. The term “computer-readable medium” should be understood to include tangible items and exclude carrier waves and transient signals, i.e., be non-transitory. Examples include random access memory (RAM), read-only memory (ROM), volatile memory, nonvolatile memory, hard drives, CD ROMs, DVDs, flash drives, disks, and any other known physical storage media. It is intended that the disclosure and examples be considered as exemplary only, with a true scope and spirit of disclosed embodiments being indicated by the following claims.",H04W76023,H04W7602,20160120,20180703,20170601,78485.0 8,14992360,ACCEPTED,Natural Oil Derivatives Including Primary Amine Functional Groups,"A compound has Structure I: where R1 and R2 independently are C2-C12 alkyl groups, X1 is a C4-C28 alkyl or alkenyl group, and R3 is H or is a bis(aminoalkyl)amide group having Structure II: where R4 and R5 independently are C2-C12 alkyl groups. The compound may be a reaction product of a metathesized natural oil and a bis(aminoalkyl)amine.","1-25. (canceled) 26. A polymer, which comprises constitutional units formed from a reaction mixture comprising: (a) a monomer having two or more epoxy groups; and (b) a compound according to Structure I: wherein: R1 and R2 independently are C2-C12 alkyl groups; X1 is a C4-C28 alkyl group or a C4-C28 alkenyl group; and R3 is a hydrogen atom or a bis(aminoalkyl)amide group according to Structure II: wherein R4 and R5 independently are C2-C12 alkyl groups. 27. The polymer of claim 26, wherein R1, R2, R4, and R5 independently are C2-C6 alkyl groups. 28. The polymer of claim 26, wherein X1 is a C10-C16 alkyl group or a C10-C16 alkenyl group. 29. The polymer of claim 28, wherein R3 is a hydrogen atom and R1 and R2 are C2 alkyl groups. 30. The polymer of claim 28, wherein R3 is a bis(aminoalkyl)amide group according to Structure II and R1, R2, R4, and R5 are C2 alkyl groups. 31. The polymer of claim 30, wherein R1, R2, R4, and R5 are —CH2—CH2—. 32. The polymer of claim 26, wherein the monomer having two or more epoxy groups is bisphenol A diglycidyl ether, bisphenol F diglycidyl ether, tetraglycidyl diamine-diphenyl-methane, or a multi-glycidyl ether of phenol formaldehyde novolac polymers. 33. The polymer of claim 32, wherein the monomer having two or more epoxy groups is bisphenol A diglycidyl ether. 34. The polymer of claim 32, wherein the monomer having two or more epoxy groups is bisphenol F diglycidyl ether."," BACKGROUND Compounds having multiple primary amine functional groups are used in a wide variety of applications. Polymeric materials such as polyamides, epoxy polymers, polyureas and other polymers can be formed by condensation reactions of amine-functionalized monomers such as diamines, triamines or tetramines with monomers having other functional groups. Polyamides typically are formed by reaction of a diamine monomer such as ethylenediamine or hexamethylenediamine, with a diacid monomer such as adipic acid or with a diacid chloride monomer such as sebacoyl chloride or terephthaloyl chloride. Epoxy polymers typically are formed by reaction of amine-functionalized monomers such as ethylenediamine, triethylenetriamine, diethylenetriamine, hexamethylenetetramine, tetraethylenepentamine, or amine-terminated polymers or prepolymers with monomers having two or more epoxy groups, such as diglycidyl ethers of bisphenol A or bisphenol F, tetraglycidyl diaminediphenylmethane, or multi-glycidyl ethers of phenol formaldehyde novolac polymers. Polyureas typically are formed by reaction of a diamine or triamine monomer with a diisocyanate monomer. Compounds having multiple primary amine functional groups also are used to form dendritic molecules. Dendritic molecules may be used as solubility enhancers, as catalyst supports, as immunoassay components, and as precursors for advanced materials. Species of the poly(amido amine) (PAMAM) class of dendrimers typically are formed by alternating reaction of ethylenediamine and methyl acrylate. Examples of PAMAM dendrimers include but are not limited to [NH 2 (CH 2 ) 2 NH 2 ]: (G=0);dendri PAMAM(NH 2 ) 4 and its associated higher generation molecules. The physical and chemical properties of polymers and of dendritic molecules are affected by the chemical structures of the building blocks used to prepare the polymers and/or dendritic molecules. Alteration of the chemical structure, size and/or concentration of these building blocks can allow for modification of the properties of the polymer or dendritic molecule. It is desirable to expand the chemical structures present in compounds having multiple primary amine functional groups, so as to expand the useful properties that can be provided by polymers or dendritic molecules formed from the compounds. With regard to polymers, for example, properties such as flexibility, toughness, etc. can be increased by incorporating chemical groups that lower the modulus or that can absorb energy, respectively. This expansion of chemical structures may be accomplished through post-polymerization processing, such as reaction with other reagents or blending with other polymers. It is especially desirable, however, to expand the chemical structures by introducing new chemical structures in the monomeric building blocks from which the polymer is formed. With regard to dendritic molecules, properties such as solubility, chemical reactivity, density, etc. can be changed by incorporating branches having different chain lengths and substitution points. One potential approach to altering the chemical structure of compounds having multiple primary amine functional groups is to form the compounds from renewable feedstocks. Renewable feedstocks, such as fatty acids or fatty esters derived from natural oils, have opened new possibilities for the development of a variety of industrially useful substances, including specialty chemicals and intermediates. For example, renewable feedstocks can be used to prepare compounds having combinations of properties that were not available with conventional petroleum feedstocks. In another example, renewable feedstocks can be used to prepare compounds more efficiently, without requiring undesirable reagents or solvents, and/or with decreased amounts of waste or side products. It would be desirable to provide compounds having multiple primary amine functional groups that include previously unavailable chemical structures. Preferably such compounds can be used as substitutes for conventional amine-functionalized compounds, while providing an increase in the renewable content of the final product formed using the compounds. Preferably such compounds can provide useful combinations of properties that are difficult to obtain using compounds formed from conventional petroleum feedstocks."," SUMMARY The scope of the present invention is defined solely by the appended claims, and is not affected to any degree by the statements within this summary. In one aspect, a compound is provided that has Structure I: where R 1 and R 2 independently are C 2 -C 12 alkyl groups, X 1 is a C 4 -C 28 alkyl or alkenyl group, and R 3 is H or is a bis(aminoalkyl)amide group having Structure II: where R 4 and R 5 independently are C 2 -C 12 alkyl groups. In another aspect, an (aminoalkyl)amide composition is provided that includes the reaction product of a metathesized natural oil and a bis(aminoalkyl)amine. In another aspect, a method of making an (aminoalkyl)amide composition is provided that includes forming a reaction mixture including a metathesized natural oil and a bis(aminoalkyl)amine, and forming a product mixture including an (aminoalkyl)amide formed from the metathesized natural oil and the bis(aminoalkyl)amine.","REFERENCE TO RELATED APPLICATIONS This application claims the benefit of U.S. Provisional Application No. 61/779,358 entitled “Natural Oil Derivatives Including Primary Amine Functional Groups” filed Mar. 13, 2013, which is incorporated by reference in its entirety. BACKGROUND Compounds having multiple primary amine functional groups are used in a wide variety of applications. Polymeric materials such as polyamides, epoxy polymers, polyureas and other polymers can be formed by condensation reactions of amine-functionalized monomers such as diamines, triamines or tetramines with monomers having other functional groups. Polyamides typically are formed by reaction of a diamine monomer such as ethylenediamine or hexamethylenediamine, with a diacid monomer such as adipic acid or with a diacid chloride monomer such as sebacoyl chloride or terephthaloyl chloride. Epoxy polymers typically are formed by reaction of amine-functionalized monomers such as ethylenediamine, triethylenetriamine, diethylenetriamine, hexamethylenetetramine, tetraethylenepentamine, or amine-terminated polymers or prepolymers with monomers having two or more epoxy groups, such as diglycidyl ethers of bisphenol A or bisphenol F, tetraglycidyl diaminediphenylmethane, or multi-glycidyl ethers of phenol formaldehyde novolac polymers. Polyureas typically are formed by reaction of a diamine or triamine monomer with a diisocyanate monomer. Compounds having multiple primary amine functional groups also are used to form dendritic molecules. Dendritic molecules may be used as solubility enhancers, as catalyst supports, as immunoassay components, and as precursors for advanced materials. Species of the poly(amido amine) (PAMAM) class of dendrimers typically are formed by alternating reaction of ethylenediamine and methyl acrylate. Examples of PAMAM dendrimers include but are not limited to [NH2(CH2)2NH2]: (G=0);dendri PAMAM(NH2)4 and its associated higher generation molecules. The physical and chemical properties of polymers and of dendritic molecules are affected by the chemical structures of the building blocks used to prepare the polymers and/or dendritic molecules. Alteration of the chemical structure, size and/or concentration of these building blocks can allow for modification of the properties of the polymer or dendritic molecule. It is desirable to expand the chemical structures present in compounds having multiple primary amine functional groups, so as to expand the useful properties that can be provided by polymers or dendritic molecules formed from the compounds. With regard to polymers, for example, properties such as flexibility, toughness, etc. can be increased by incorporating chemical groups that lower the modulus or that can absorb energy, respectively. This expansion of chemical structures may be accomplished through post-polymerization processing, such as reaction with other reagents or blending with other polymers. It is especially desirable, however, to expand the chemical structures by introducing new chemical structures in the monomeric building blocks from which the polymer is formed. With regard to dendritic molecules, properties such as solubility, chemical reactivity, density, etc. can be changed by incorporating branches having different chain lengths and substitution points. One potential approach to altering the chemical structure of compounds having multiple primary amine functional groups is to form the compounds from renewable feedstocks. Renewable feedstocks, such as fatty acids or fatty esters derived from natural oils, have opened new possibilities for the development of a variety of industrially useful substances, including specialty chemicals and intermediates. For example, renewable feedstocks can be used to prepare compounds having combinations of properties that were not available with conventional petroleum feedstocks. In another example, renewable feedstocks can be used to prepare compounds more efficiently, without requiring undesirable reagents or solvents, and/or with decreased amounts of waste or side products. It would be desirable to provide compounds having multiple primary amine functional groups that include previously unavailable chemical structures. Preferably such compounds can be used as substitutes for conventional amine-functionalized compounds, while providing an increase in the renewable content of the final product formed using the compounds. Preferably such compounds can provide useful combinations of properties that are difficult to obtain using compounds formed from conventional petroleum feedstocks. SUMMARY The scope of the present invention is defined solely by the appended claims, and is not affected to any degree by the statements within this summary. In one aspect, a compound is provided that has Structure I: where R1 and R2 independently are C2-C12 alkyl groups, X1 is a C4-C28 alkyl or alkenyl group, and R3 is H or is a bis(aminoalkyl)amide group having Structure II: where R4 and R5 independently are C2-C12 alkyl groups. In another aspect, an (aminoalkyl)amide composition is provided that includes the reaction product of a metathesized natural oil and a bis(aminoalkyl)amine. In another aspect, a method of making an (aminoalkyl)amide composition is provided that includes forming a reaction mixture including a metathesized natural oil and a bis(aminoalkyl)amine, and forming a product mixture including an (aminoalkyl)amide formed from the metathesized natural oil and the bis(aminoalkyl)amine. BRIEF DESCRIPTION OF THE DRAWINGS The invention can be better understood with reference to the following drawings and description. The components in the figures are not necessarily to scale and are not intended to accurately represent molecules or their interactions, emphasis instead being placed upon illustrating the principles of the invention. Moreover, in the figures, like referenced numerals designate corresponding parts throughout the different views. FIG. 1 depicts a reaction scheme for a metathesis reaction of a natural oil. FIG. 2 depicts a method of making an (aminoalkyl)amide. FIG. 3 depicts a representative reaction scheme for a method of forming an (aminoalkyl)amide. DETAILED DESCRIPTION To provide a clear and more consistent understanding of the specification and claims of this application, the following definitions are provided. The terms “reaction” and “chemical reaction” refer to the conversion of a substance into a product, irrespective of reagents or mechanisms involved. The term “reaction product” refers to a substance produced from a chemical reaction of one or more reactant substances. The term “alkyl group” refers to a group formed by removing a hydrogen from a carbon of an alkane, where an alkane is an acyclic or cyclic compound consisting entirely of hydrogen atoms and saturated carbon atoms. The term “alkenyl group” refers to a group formed by removing a hydrogen from a carbon of an alkene, where an alkene is an acyclic or cyclic compound consisting entirely of hydrogen atoms and carbon atoms, and including at least one carbon-carbon double bond. A compound containing an alkenyl group is conventionally referred to as an “unsaturated compound”. The term “functional group” refers to a group that includes one or a plurality of atoms other than hydrogen and sp3 carbon atoms. Examples of functional groups include but are not limited to hydroxyl (—OH), protected hydroxyl, ether (—C—O—C—), ketone (>C═O), ester (—C(═O)O—C—), carboxylic acid (—C(═O)OH), cyano (—C≡N), amido (—C(═O)NH—C—), isocyanate (—N═C═O), urethane (—O—C(═O)—NH—), urea (—NH—C(=O)—NH—), protected amino, thiol(—SH), sulfone, sulfoxide, phosphine, phosphite, phosphate, halide(—X), and the like. The terms “amine”, “amine group” and “amino group” refer to a group formed by removing a hydrogen from ammonia (NH3), from the nitrogen of a primary amine compound (RNH2) or from the nitrogen of a secondary amine compound (R′R″NH), where R, R′ and R″ are organic groups. A primary amino group may be represented by the structural formula —NH2, and a secondary amino group may be represented by the structural formula —NRH. The terms “amide”, “amide group” and “amido group” refer to a group formed by removing a hydrogen from a carbon atom and/or removing one or both hydrogens from the nitrogen of an organic amide (R—C(═O)—NH2) compound, where R is an organic group. A primary amide group may be represented by the structural formula —C(═O)—NH2, a secondary amide group may be represented by the structural formula —C(═O)—NH—R′, and a tertiary amide group may be represented by the structural formula —C(═O)—NR′R″, where R′ and R″ are organic groups. The term “(aminoalkyl)amide” refers to a compound that includes a least one alkyl and/or alkenyl group, at least one amide group, and at least one aminoalkyl group bonded to the amide nitrogen through a C—N bond. The term “metathesis catalyst” refers to any catalyst or catalyst system configured to catalyze a metathesis reaction. The terms “metathesize” and “metathesizing” refer to a chemical reaction involving a single type of olefin or a plurality of different types of olefin, which is conducted in the presence of a metathesis catalyst, and which results in the formation of at least one new olefin product. The phrase “metathesis reaction” encompasses cross-metathesis (a.k.a. co-metathesis), self-metathesis, ring-opening metathesis (ROM), ring-opening metathesis polymerizations (ROMP), ring-closing metathesis (RCM), and acyclic diene metathesis (ADMET), and the like, and combinations thereof. The terms “natural oils,” “natural feedstocks,” or “natural oil feedstocks” mean oils derived from plants or animal sources. The term “natural oil” includes natural oil derivatives, unless otherwise indicated. Examples of natural oils include but are not limited to vegetable oils, algal oils, animal fats, tall oils, derivatives of these oils, combinations of any of these oils, and the like. Examples of vegetable oils include but are not limited to canola oil, rapeseed oil, coconut oil, corn oil, cottonseed oil, olive oil, palm oil, peanut oil, safflower oil, sesame oil, soybean oil, sunflower oil, linseed oil, palm kernel oil, tung oil, jatropha oil, mustard oil, camelina oil, pennycress oil, castor oil, and the like, and combinations thereof. Examples of animal fats include but are not limited to lard, tallow, poultry fat, yellow grease, fish oil, and the like, and combinations thereof. Tall oils are by-products of wood pulp manufacture. A natural oil may be refined, bleached, and/or deodorized. The term “natural oil derivatives” refers to compounds or mixtures of compounds derived from one or more natural oils using any one or combination of methods known in the art. Such methods include but are not limited to saponification, transesterification, esterification, hydrogenation (partial or full), isomerization, oxidation, reduction, and the like, and combinations thereof. Examples of natural oil derivatives include but are not limited to gums, phospholipids, soapstock, acidulated soapstock, distillate or distillate sludge, fatty acids and fatty acid alkyl esters such as 2-ethylhexyl ester, hydroxy-substituted variations thereof of the natural oil, and the like, and combinations thereof. For example, the natural oil derivative may be a fatty acid methyl ester (FAME) derived from the glyceride of the natural oil. The term “metathesized natural oil” refers to the metathesis reaction product of a natural oil in the presence of a metathesis catalyst, where the metathesis product includes a new olefinic compound. A metathesized natural oil may include a reaction product of two triglycerides in a natural feedstock (self-metathesis) in the presence of a metathesis catalyst, where each triglyceride has an unsaturated carbon-carbon double bond, and where the reaction product includes a “natural oil oligomer” having a new mixture of olefins and esters that may include one or more of metathesis monomers, metathesis dimers, metathesis trimers, metathesis tetramers, metathesis pentamers, and higher order metathesis oligomers (e.g., metathesis hexamers). A metathesized natural oil may include a reaction product of a natural oil that includes more than one source of natural oil (e.g., a mixture of soybean oil and palm oil). A metathesized natural oil may include a reaction product of a natural oil that includes a mixture of natural oils and natural oil derivatives. A metathesized natural oil may include a cross-metathesis reaction product of a natural oil with another substance having a carbon-carbon double bond, such as an olefin or ethylene. Compounds having a plurality of primary amine functional groups may be formed from a renewable feedstock, such as a renewable feedstock formed through metathesis reactions of natural oils and/or their fatty acid or fatty ester derivatives. When compounds containing a carbon-carbon double bond undergo metathesis reactions in the presence of a metathesis catalyst, some or all of the original carbon-carbon double bonds are broken, and new carbon-carbon double bonds are formed. The products of such metathesis reactions include carbon-carbon double bonds in different locations, which can provide unsaturated organic compounds having useful chemical structures. Renewable feedstocks for compounds having a plurality of primary amine functional groups may include unsaturated compounds having an internal carbon-carbon double bond. Compounds having a plurality of primary amine functional groups may be used as monomers in polymerization reactions. The use of a monomer containing a metathesized natural oil derivative can provide additional options for providing polymeric materials having useful combinations of properties, including but not limited to mechanical properties, crosslink density, and post-polymerization reactivity. The compounds having a plurality of primary amine functional groups also may be used as intermediates for preparing larger compounds through the reaction of one or more of the plurality of primary amine functional groups with another substance. The use of a monomer and/or an intermediate containing a metathesized natural oil derivative may provide certain advantages over commercial monomers and intermediates, including but not limited to simpler and/or more cost-effective production, reduced variability, improved sourcing, and increased biorenewability. A compound having a plurality of primary amine functional groups may be an (aminoalkyl)amide represented by Structure I: where R1 and R2 independently are C2-C12 alkyl groups, X1 is a C4-C28 alkyl or alkenyl group, and R3 is selected from the group consisting of H and a N,N-bis(aminoalkyl)amide group represented by Structure II: where R4 and R5 independently are C2-C10 alkyl groups. Preferably R1, R2, R4 and R5 independently are C2-C10 alkyl groups, C2-C8 alkyl groups, C2-C6 alkyl groups or C2-C4 alkyl groups. In one example, R1, R2, R4 and R5 are the same, and are a C2-C10 alkyl group, a C2-C8 alkyl group, a C2-C6 alkyl group, or a C2-C4 alkyl group. Preferably X1 is a C8-C22 alkyl or alkenyl group, or a C10-C16 alkyl or alkenyl group. X1 may be derived from a natural oil, and preferably is derived from a metathesized natural oil. In one example, R1 and R2 are C2 alkyl groups, and R3 is H. A compound having a plurality of primary amine functional groups according to this example may be a bis(aminoethyl)amide represented by Structure III: where X2 is a C4-C28 alkyl or alkenyl group. Preferably X2 is a C8-C22 alkyl or alkenyl group, or a C10-C16 alkyl or alkenyl group. X2 may be derived from a natural oil, and preferably is derived from a metathesized natural oil. In another example, R1 and R2 are C2 alkyl groups, R3 is the bis(aminoalkyl)amide group represented by Structure (II), and R4 and R5 are C2 alkyl groups. A compound having a plurality of primary amine functional groups according to this example may be a tetra(aminoethyl)diamide represented by Structure IV: where X3 is a C4-C28 alkenyl group. Preferably X3 is a C8-C22 alkyl or alkenyl group, or a C10-C16 alkyl or alkenyl group. X3 may be derived from a natural oil, and preferably is derived from a metathesized natural oil. Preferably the compound having a plurality of primary amine functional groups is derived from a natural oil. More preferably the compound having a plurality of primary amine functional groups is derived from a metathesized natural oil. Preferably the compound having a plurality of primary amine functional groups is the reaction product of a metathesized natural oil and a bis(aminoalkyl)amine. In one example, the reaction product of a metathesized natural oil and a bis(aminoalkyl)amine may be represented by Structure I, III or IV, above. The metathesized natural oil used to form the compound having a plurality of primary amine functional groups may be the product of a metathesis reaction of a natural oil in the presence of a metathesis catalyst. The metathesis catalyst in this reaction may include any catalyst or catalyst system that catalyzes a metathesis reaction. Any known metathesis catalyst may be used, alone or in combination with one or more additional catalysts. Examples of metathesis catalysts and process conditions are described in paragraphs [0069]-[0155] of US 2011/0160472, incorporated by reference herein in its entirety, except that in the event of any inconsistent disclosure or definition from the present specification, the disclosure or definition herein shall be deemed to prevail. A number of the metathesis catalysts described in US 2011/0160472 are presently available from Materia, Inc. (Pasadena, Calif.). In some embodiments, the metathesis catalyst includes a transition metal. In some embodiments, the metathesis catalyst includes ruthenium. In some embodiments, the metathesis catalyst includes rhenium. In some embodiments, the metathesis catalyst includes tantalum. In some embodiments, the metathesis catalyst includes nickel. In some embodiments, the metathesis catalyst includes tungsten. In some embodiments, the metathesis catalyst includes molybdenum. In some embodiments, the metathesis catalyst includes a ruthenium carbene complex and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a material selected from the group consisting of a ruthenium vinylidene complex, a ruthenium alkylidene complex, a ruthenium methylidene complex, a ruthenium benzylidene complex, and combinations thereof, and/or an entity derived from any such complex or combination of such complexes. In some embodiments, the metathesis catalyst includes a ruthenium carbene complex including at least one phosphine ligand and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a ruthenium carbene complex including at least one tricyclohexylphosphine ligand and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a ruthenium carbene complex including at least two tricyclohexylphosphine ligands [e.g., (PCy3)2Cl2Ru═CH—CH═C(CH3)2, etc.] and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a ruthenium carbene complex including at least one imidazolidine ligand and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a ruthenium carbene complex including an isopropyloxy group attached to a benzene ring and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a Grubbs-type olefin metathesis catalyst and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes a first-generation Grubbs-type olefin metathesis catalyst and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes a second-generation Grubbs-type olefin metathesis catalyst and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes a first-generation Hoveda-Grubbs-type olefin metathesis catalyst and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes a second-generation Hoveda-Grubbs-type olefin metathesis catalyst and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes one or a plurality of the ruthenium carbene metathesis catalysts sold by Materia, Inc. of Pasadena, Calif. and/or one or more entities derived from such catalysts. Representative metathesis catalysts from Materia, Inc. for use in accordance with the present teachings include but are not limited to those sold under the following product numbers as well as combinations thereof: product no. C823 (CAS no. 172222-30-9), product no. C848 (CAS no. 246047-72-3), product no. C601 (CAS no. 203714-71-0), product no. C627 (CAS no. 301224-40-8), product no. C571 (CAS no. 927429-61-6), product no. C598 (CAS no. 802912-44-3), product no. C793 (CAS no. 927429-60-5), product no. C801 (CAS no. 194659-03-9), product no. C827 (CAS no. 253688-91-4), product no. C884 (CAS no. 900169-53-1), product no. C833 (CAS no. 1020085-61-3), product no. C859 (CAS no. 832146-68-6), product no. C711 (CAS no. 635679-24-2), product no. C933 (CAS no. 373640-75-6). In some embodiments, the metathesis catalyst includes a molybdenum and/or tungsten carbene complex and/or an entity derived from such a complex. In some embodiments, the metathesis catalyst includes a Schrock-type olefin metathesis catalyst and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes a high-oxidation-state alkylidene complex of molybdenum and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes a high-oxidation-state alkylidene complex of tungsten and/or an entity derived therefrom. In some embodiments, the metathesis catalyst includes molybdenum (VI). In some embodiments, the metathesis catalyst includes tungsten (VI). In some embodiments, the metathesis catalyst includes a molybdenum- and/or a tungsten-containing alkylidene complex of a type described in one or more of (a) Angew. Chem. Int. Ed. Engl., 2003, 42, 4592-4633; (b) Chem. Rev., 2002, 102, 145-179; and/or (c) Chem. Rev., 2009, 109, 3211-3226, each of which is incorporated by reference herein in its entirety, except that in the event of any inconsistent disclosure or definition from the present specification, the disclosure or definition herein shall be deemed to prevail. Metathesis is a catalytic reaction that involves the interchange of alkylidene units among compounds containing one or more double bonds (i.e., olefinic compounds) via the formation and cleavage of the carbon-carbon double bonds. The metathesis reaction of a natural oil containing unsaturated polyol esters can produce oligomers of the unsaturated polyol esters. The resulting oligomers typically contain a mixture of olefins and esters that may include one or more of metathesis monomers, metathesis dimers, metathesis trimers, metathesis tetramers, metathesis pentamers, and higher order metathesis oligomers (e.g., metathesis hexamers, etc.). FIG. 1 depicts chemical structures and reaction schemes related to a metathesis reaction 100 of a natural oil 110, producing metathesis dimer 120, metathesis trimer 130 and higher order metathesis oligomers (not pictured). A metathesis dimer refers to a compound formed when two unsaturated polyol ester molecules are covalently bonded to one another by a metathesis reaction. The molecular weight of the metathesis dimer typically is greater than the molecular weight of the individual unsaturated polyol ester molecules from which the dimer is formed. A metathesis trimer refers to a compound formed when three unsaturated polyol ester molecules are covalently bonded together by metathesis reactions. A metathesis trimer may be formed by the cross-metathesis of a metathesis dimer with an unsaturated polyol ester. A metathesis tetramer refers to a compound formed when four unsaturated polyol ester molecules are covalently bonded together by metathesis reactions. A metathesis tetramer may be formed by the cross-metathesis of a metathesis trimer with an unsaturated polyol ester. Metathesis tetramers may also be formed, for example, by the cross-metathesis of two metathesis dimers. Higher order metathesis oligomers (such as metathesis pentamers, metathesis hexamers, and the like) also may be formed. The metathesized natural oil may be derived from natural oils such as vegetable oil, algal oil, animal fat, tall oil, derivatives of these oils, or mixtures thereof. Examples of vegetable oils include but are not limited to canola oil, rapeseed oil, coconut oil, corn oil, cottonseed oil, olive oil, palm oil, peanut oil, safflower oil, sesame oil, soybean oil, sunflower oil, linseed oil, palm kernel oil, tung oil, jatropha oil, mustard oil, camelina oil, pennycress oil, castor oil, and the like, and combinations thereof. Examples of animal fats include but are not limited to lard, tallow, poultry fat, yellow grease, fish oil, and the like, and combinations thereof. Examples of natural oil derivatives include but are not limited to metathesis oligomers, gums, phospholipids, soapstock, acidulated soapstock, distillate or distillate sludge, fatty acids and fatty acid alkyl ester such as 2-ethylhexyl ester, hydroxyl-substituted variations of the natural oil, and the like, and combinations thereof. For example, the natural oil derivative may be a fatty acid methyl ester (FAME) derived from the glyceride of the natural oil. The natural oil may include canola or soybean oil, such as refined, bleached and deodorized soybean oil (i.e., RBD soybean oil). Soybean oil typically includes about 95 percent by weight (wt %) or greater (e.g., 99 wt % or greater) triglycerides of fatty acids. Major fatty acids in the polyol esters of soybean oil include but are not limited to saturated fatty acids such as palmitic acid (hexadecanoic acid) and stearic acid (octadecanoic acid), and unsaturated fatty acids such as oleic acid (9-octadecenoic acid), linoleic acid (9,12-octadecadienoic acid), and linolenic acid (9,12,15-octadecatrienoic acid). The metathesized natural oil may be a metathesized vegetable oil, a metathesized algal oil, a metathesized animal fat, a metathesized tall oil, a metathesized derivatives of these oils, or a mixture thereof. For example, a metathesized vegetable oil may include metathesized canola oil, metathesized rapeseed oil, metathesized coconut oil, metathesized corn oil, metathesized cottonseed oil, metathesized olive oil, metathesized palm oil, metathesized peanut oil, metathesized safflower oil, metathesized sesame oil, metathesized soybean oil, metathesized sunflower oil, metathesized linseed oil, metathesized palm kernel oil, metathesized tung oil, metathesized jatropha oil, metathesized mustard oil, metathesized camelina oil, metathesized pennycress oil, metathesized castor oil, metathesized derivatives of these oils, or mixtures thereof. In another example, the metathesized natural oil may include a metathesized animal fat, such as metathesized lard, metathesized tallow, metathesized poultry fat, metathesized fish oil, metathesized derivatives of these oils, or mixtures thereof. FIG. 2 depicts a method 200 of making an (aminoalkyl)amide composition. The method 200 includes forming 201 a reaction mixture 210 containing a metathesized natural oil 212 and a bis(aminoalkyl)amine 214; forming 202 a product mixture 220 containing an (aminoalkyl)amide 222 formed from the metathesized natural oil 212 and the bis(aminoalkyl)amine 214; and optionally isolating 203 an (aminoalkyl)amide 222 from the product mixture 220. The metathesized natural oil 212 may be a metathesized vegetable oil, a metathesized algal oil, a metathesized animal fat, a metathesized tall oil, a metathesized derivatives of these oils, or a mixture thereof, as described above. Preferably the metathesized natural oil 212 includes metathesized soybean oil (MSBO). The bis(aminoalkyl)amine 214 may be any secondary amine that includes two aminoalkyl groups bonded to the secondary amine nitrogen through C—N bonds. The bis(aminoalkyl)amine 214 may be represented by Structure V: where R1 and R2 are as described above regarding Structure I. The two aminoalkyl groups (—R1—NH2 and —R2—NH2) may be the same, or they may be different. The primary amine group may be at any of a number of positions within the aminoalkyl group. Preferably at least one of the aminoalkyl groups is a ω-aminoalkyl group, in which the primary amine group is at the end of the aminoalkyl group opposite that of the C—N bond to the secondary amine nitrogen. Examples of bis(aminoalkyl)amines include bis(2-aminopropyl)amine and N-2-aminopropyl-N-aminoethylamine. Examples of bis(ω-aminoalkyl)amines include but are not limited to diethylenetriamine. Preferably the bis(aminoalkyl)amine 214 includes diethylene triamine. In some embodiments, the amount of bis(aminoalkyl)amine 214 present in the reaction mixture may be between about 0.1 percent by weight (wt %) and about 30 wt % of the metathesized natural oil in the reaction mixture. The amount of bis(aminoalkyl)amine in the reaction mixture also may be expressed in terms of the ratio of equivalents of secondary amine in the bis(aminoalkyl)amine to ester equivalents in the metathesized natural oil (A:E ratio). For example, in some embodiments, the A:E ratio may be between about 1:100 and about 10:1, or between about 1:10 and about 5:1. In another example, the A:E ratio may be about 1:3, about 2:3, about 1:2, or about 1:1. The reaction mixture 210 may include one or more other substances, such as a solvent, a base and/or a catalyst, in addition to the metathesized natural oil 212 and the bis(aminoalkyl)amine 214. The metathesized natural oil 212, bis(aminoalkyl)amine 214 and optional other substances may be combined simultaneously or in any order. In some embodiments, a base may be present in the reaction mixture to increase the rate of reaction between the bis(aminoalkyl)amine and the metathesized natural oil. Examples of bases include but are not limited to sodium carbonate, lithium carbonate, sodium methoxide, potassium hydroxide, sodium hydride, potassium butoxide, potassium carbonate, or mixtures of these. The base may be added to the reaction mixture 210 neat or as a mixture with a solvent such as water, alcohol, or another organic solvent. In some embodiments, the amount of base in the reaction mixture may be between about 0.1 wt % and about 10 wt % of the metathesized natural oil in the reaction mixture, or between about 1 wt % and about 15 wt % of the metathesized natural oil. In some embodiments, the amount of base in the reaction mixture may be between about 1 wt % and about 10 wt % of the metathesized natural oil, between about 0.1 wt % and about 1.0 wt % of the metathesized natural oil, or between about 0.01 wt % and about 0.1 wt % of the metathesized natural oil. The forming 202 a product mixture 220 containing an (aminoalkyl)amide 222 may include heating the reaction mixture 210. In some embodiments, the rate of reaction between the bis(aminoalkyl)amine 214 and the metathesized natural oil 212 may be increased by heating the reaction mixture, with or without a base, to at least about 100° C., at least about 120° C., at least about 140° C., at least about 160° C., or between about 100° C. and about 200° C. In some embodiments, the reaction may be carried out at an elevated temperature of between about 30 and about 200° C., between about 80 and about 150° C., or between about 100 and about 125° C. In some embodiments, the reaction mixture may be maintained at the elevated temperature for a time sufficient to form an (aminoalkyl)amide 222, such as between about 1 and about 24 hours, or between about 4 and about 24 hours. For example, the reaction mixture may be maintained at the elevated temperature for about 1 hour, about 2 hours, about 4 hours, or about 6 hours. In some embodiments, the reaction may be carried out in an inert atmosphere, such as a nitrogen atmosphere or a noble gas atmosphere. In some embodiments, the reaction may be carried out in an ambient atmosphere. The optionally isolating 203 an (aminoalkyl)amide 222 from the product mixture 220 may include removing volatile substances under vacuum. For example, the product mixture may be placed under a vacuum for between about 30 minutes and about 1 hour. Volatile substances may include but are not limited to water, solvent, unreacted bis(aminoalkyl)amine, and/or glycerol. The (aminoalkyl)amide 222 reaction product may have one chemical structure, or the reaction product may be a mixture of compounds having different chemical structures. For example, the (aminoalkyl)amide 222 reaction product may include a mixture of compounds represented by Structure I. For a reaction product that includes a mixture of compounds having different chemical structures, individual compounds may be isolated from the reaction product, or the reaction product may be used as a mixture. FIG. 3 depicts chemical structures and a reaction scheme for an example of a method 300 of making an (aminoalkyl)amide composition. The method 300 includes forming a reaction mixture 310 containing metathesized soybean oil (MSBO) 312 as the metathesized natural oil and diethylenetriamine 314 as the bis(aminoalkyl)amine. The reaction mixture 310 also may include one or more other substances, such as a solvent, a base and/or a catalyst. Method 300 further includes forming 302 a product mixture 320 containing (aminoethyl)amide species, such as 322 and/or 324. In species 322 and 324, w, x, y and z independently are integers from 0 to 18, such that the total number of carbon atoms between the amido groups is from 6 to 28, and the partially dashed double line indicates that species may or may not include one or more carbon-carbon double bonds. The forming 302 may include heating the reaction mixture as described above, including maintaining the reaction mixture at a temperature of from about 30° C. to about 200° C. for a time sufficient to form (aminoalkyl)amide species. The tetra(aminoethyl)diamide species 322 and bis(aminoethyl)amide species 324 are exemplary, as the product mixture 320 may include a number of different species of (aminoethyl)amides consistent with Structure I. Structural variables between the species include but are not limited to the presence and number of carbon-carbon double bonds, the number of carbon atoms in the organic group bonded to the (aminoethyl)amide group(s), and branching. Method 300 further may include isolating an (aminoethyl)amide species. As noted above, isolating one or both of the (aminoethyl)amide species may include removing volatile substances under vacuum, where the volatile substances may include but are not limited to water, solvent, unreacted diethylenetriamine 314, and/or glycerol. The optional isolating may provide a mixture of (aminoalkyl)amide species, or it may provide a single (aminoalkyl)amide species. A compound having a plurality of primary amine functional groups, such as the reaction product of a metathesized natural oil and a bis(aminoalkyl) amine and/or a compound represented by Structure I above, may be used in a polymerization reaction. A monofunctional monomer, such as a monomer having Structure I in which —R3 is H, may be used as a chain extender in a polymer. A difunctional monomer, such as a monomer having Structure I in which —R3 is a bis(aminoalkyl) amide group, may be used as a crosslinker in a polymer. A mixture of monofunctional and difunctional monomers may be used to provide both chain extension and crosslinking features to a polymer. In one example, the compound having a plurality of primary amine functional groups may be reacted with monomers having two or more epoxy groups to form an epoxy polymer. Examples of monomers having two or more epoxy groups include but are not limited to diglycidyl ethers of bisphenol A or bisphenol F, tetraglycidyl diamine-diphenylmethane, and multi-glycidyl ethers of phenol formaldehyde novolac polymers. The compound having a plurality of primary amine functional groups may account for all of the amine-functionalized monomer in the polymerization reaction, or one or more other amine-functionalized monomers, such as such as ethylene diamine, triethylenetriamine, diethylenetriamine, hexamethylenetetramine, tetraethylenepentamine or amine-terminated polymers or prepolymers, may be present in the polymerization. In another example, the compound having a plurality of primary amine functional groups may be reacted with a diacid monomer or a diacid chloride monomer to form a polyamide. Examples of diacid monomers include but are not limited to adipic acid. Examples of diacid chloride monomers include but are not limited to sebacoyl chloride and terephthaloyl chloride. The compound having a plurality of primary amine functional groups may account for all of the amine-functionalized monomer in the polymerization reaction, or one or more other amine-functionalized monomers, such as such as ethylenediamine or hexamethylenediamine, may be present in the polymerization. A compound having a plurality of primary amine functional groups, such as the reaction product of a metathesized natural oil and a bis(aminoalkyl) amine and/or a compound represented by Structure I above, may be used to form a dendritic molecule. In one example, the compound having a plurality of primary amine functional groups may be used as a substitute for some or all of the ethylenediamine typically used in the synthesis of PAMAM dendrimers. In another example, the compound having a plurality of primary amine functional groups may be used as the core in the divergent synthesis of a dendrimer. Reaction of the compound with methyl acrylate, followed by reaction with ethylenediamine, may provide a dendrimer analogous to the PAMAM system, but with a core that is more flexible and less sterically hindered. The following examples and representative procedures illustrate features in accordance with the present teachings, and are provided solely by way of illustration. They are not intended to limit the scope of the appended claims or their equivalents, and numerous variations can be made to the following examples that lie within the scope of these claims. EXAMPLES Example 1 Formation of (Aminoalkyl)amide Compounds An (aminoalkyl)amide compound was formed by reacting a metathesized natural oil and a bis(aminoalkyl)amine. Diethylenetriamine (DETA) (106.18 grams (g)) and sodium methoxide (1.11 g) were combined in a flask equipped with a condenser, and the mixture was heated to 115° C. and stirred. To this mixture, metathesized soybean oil (MSBO; 250 g) was added dropwise. Table 1 lists the reactants present in the reaction mixture. The saponification value (SAP) of MSBO was determined using the standard AOCS (American Oil Chemists' Society) procedure. The average molecular weight between ester groups in the MSBO was approximately 56,100 /SAP=56,100/210=267.2 Daltons. TABLE 1 Reactants used to form (aminoalkyl)amide compounds MSBO Diethylenetriamine Sodium methoxide molecular 210* 103.17 g/mol 54.02 g/mol weight mass 250 g 106.18 g 1.11 g moles 0.9357 1.0292 0.0206 equivalents 1 1.1 0.022 *saponification value The mixture was maintained at 115° C. for 2 hours after the MSBO addition was complete. The mixture was allowed to cool, and was then dissolved in diethyl ether, washed with a saturated sodium chloride solution, and dried. The ether was removed from the product by rotary evaporation to provide a mixture of monomers having at least two primary amine functional groups and containing a group derived from the MSBO. Characterization of the product by Fourier Transform Infrared Spectroscopy (FTIR) was consistent with full conversion of the ester groups of the MSBO to N,N-bis(aminoethyl)amide groups. While neither desiring to be bound by any particular theory nor intending to limit in any measure the scope of the appended claims or their equivalents, it is presently believed that the product may be represented by Structures III and/or IV, above. Example 2 Formation of Epoxy Thermoset A polymer was formed by reacting bisphenol A diglycidyl ether with a compound having a plurality of primary amine functional groups. The (aminoalkyl)amide compound of Example 1 and bisphenol A diglycidyl ether were combined, resulting in a hard epoxy polymer product. The foregoing detailed description and accompanying drawings have been provided by way of explanation and illustration, and are not intended to limit the scope of the appended claims. Many variations in the presently preferred embodiments illustrated herein will be apparent to one of ordinary skill in the art, and remain within the scope of the appended claims and their equivalents. It is to be understood that the elements and features recited in the appended claims may be combined in different ways to produce new claims that likewise fall within the scope of the present invention. Thus, whereas the dependent claims appended below depend from only a single independent or dependent claim, it is to be understood that these dependent claims can, alternatively, be made to depend in the alternative from any preceding claim—whether independent or dependent and that such new combinations are to be understood as forming a part of the present specification.",C08G5954,C08G5954,20160111,20170404,20160714,86027.0 9,14994130,ACCEPTED,FLUX CONCENTRATOR FOR IRONLESS MOTOR,"In one possible embodiment, a magnet array for a motor is provided which has an array of permanent magnets being arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array, the array further includes flux concentrators located at poles on the reinforcing side of the array.","1. A magnet array for a motor comprising an array of permanent magnets being arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array, wherein the magnets are arranged such that the magnetic moments of adjacent magnets are oriented in directions separated by about 45 degrees, and such that a magnet within the array comprises a magnetic moment oriented generally perpendicular to the reinforcing side of the array, and comprising an ironless winding adjacent to the array comprising conductor bundles having a generally rectangular cross-section arranged such that a long side of the generally rectangular cross-section is transverse to a direction of magnetic field lines of the magnet having the generally perpendicular magnetic moment. 2. The magnet array of claim 1, further comprising flux concentrators located on the reinforcing side of the array at magnets having the generally perpendicular magnetic moment. 3. The magnet array of claim 2, wherein the flux concentrators comprise a magnetic material having a higher flux density than the magnets. 4. The magnet array of claim 3, wherein the flux concentrators comprise iron. 5. The magnet array of claim 1, wherein the flux concentrators comprise iron. 6. A motor comprising: a) an inner rotor and an outer rotor; b) a stator comprising an ironless winding between the inner rotor and the outer rotor; c) the inner rotor and the outer rotor each comprising an array of permanent magnets being arranged such that flux from the permanent magnets reinforce on a side of the array facing the stator and substantially canceling on a side of the array opposite the stator, wherein the magnets of the arrays of the inner and outer rotors are arranged such that the magnetic moments of adjacent magnets are oriented in directions separated by about 45 degrees, and such that a magnet within the array comprises a magnetic moment oriented generally perpendicular to the stator side of the array, and d) wherein the ironless winding comprises conductor bundles comprising a generally rectangular cross-section arranged such that a long side of the generally rectangular cross-section is transverse to a direction of magnetic field lines of the generally perpendicular magnetic moments of inner and outer arrays. 7. The motor of claim 6, wherein the inner and outer rotors are secured together separated by a gap, and wherein the magnetic moments of the magnets in the arrays are aligned to reinforce magnetic fields across the gap. 8. The motor of claim 7, further comprising flux concentrators located on the reinforcing side of the array at magnets having the generally perpendicular magnetic moment. 9. The motor of claim 8, wherein the flux concentrators comprise a magnetic material having a higher flux density than the magnets. 10. The motor of claim 9, wherein the flux concentrators comprise iron. 11. The motor of claim 8, wherein the flux concentrators comprise iron. 12. A motor comprising: a) an inner rotor and an outer rotor with an ironless stator winding therebetween; b) the inner and outer rotors each comprise flux concentrators and permanent magnets, the inner rotor and the outer rotor each comprising an array of permanent magnets being arranged such that flux from the permanent magnets reinforce on a side of the array facing the stator and substantially canceling on a side of the array opposite the stator, wherein the magnets of the arrays of the inner and outer rotors are arranged such that the magnetic moments of adjacent magnets are oriented in directions separated by about 45 degrees, and such that a magnet within the array comprises a magnetic moment oriented generally perpendicular to the stator side of the array; c) wherein the permanent magnets each comprise a pole surface, the flux concentrators of the inner and outer rotors being located at a pole surface of the magnet having the generally perpendicular magnetic magnet within the array so as to mutually reinforce flux across the winding; and d) wherein the ironless stator winding comprises conductor bundles comprising a generally rectangular cross-section arranged such that a long side of the generally rectangular cross-section is transverse to a direction of magnetic field lines of the generally perpendicular magnetic moments of inner and outer arrays. 13. The motor of claim 12, wherein the winding comprises turns each having a width, and wherein the flux concentrators of the inner and outer rotors have a width that is substantially a same width as a single winding turn. 14. The motor of claim 13, wherein the flux concentrators comprise a magnetic material having a higher flux density than the magnets. 15. The motor of claim 13, wherein the flux concentrators comprise iron. 16. The motor of claim 12, wherein the flux concentrators of the inner and outer rotors have a width, the permanent magnets of the inner and outer rotors have a width, and the winding comprises turns each having a width such that a non-fringing density of magnetic field lines across the winding has substantially a same width as the width of a single winding turn. 17. The motor of claim 16, wherein the winding comprises turns each having a width, and wherein the flux concentrators of the inner and outer rotors have a width that is substantially a same width as a single winding turn. 18. The motor of claim 17, wherein the flux concentrators comprise a magnetic material having a higher flux density than the magnets. 19. The motor of claim 18, wherein the flux concentrators comprise iron. 20. The motor of claim 12, wherein the flux concentrators comprise iron."," BACKGROUND Electric motors for vehicles need to have high efficiency to conserve power. Furthermore, in unmanned or manned vehicles, light weight and compact electric motors are also desirable. Thus, ironless motors are often used which can provide the benefit of no iron losses due to changing flux direction. Ironless motors, however, suffer from poor field strength in the gap. Motors are normally rated for the peak power and efficiency of the motor. In some applications, high part load efficiency is desired, which is high efficiency when machine is loaded at a partial load, i.e. 15% or some other percent. What is needed is a higher efficiency compact motor."," SUMMARY In one possible embodiment, a magnet array for a motor is provided which has an array of permanent magnets being arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array, the array further includes flux concentrators located at poles on the reinforcing side of the array. In another possible embodiment, a magnet array is provided for a motor having an array of permanent magnets arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array. In this embodiment, the magnets are arranged such that the magnetic moments of adjacent magnets are oriented in directions separated by about 45 degrees, and such that a magnet within the array comprises a magnetic moment oriented generally perpendicular to the reinforcing side of the array. The embodiments may be combined and other embodiments are possible.","CROSS REFERENCE TO RELATED APPLICATIONS The present application is a divisional of U.S. patent application Ser. No. 12/565,718, filed Sep. 23, 2009, by Hibbs et al., entitled FLUX CONCENTRATOR FOR IRONLESS MOTORS, herein incorporated by reference in its entirety, which claims the benefit of the following applications which are herein incorporated by reference in their entireties: U.S. Provisional Application No. 61/194,056, filed Sep. 23, 2008, by Bart Dean Hibbs, entitled FLUX CONCENTRATOR FOR IRONLESS MOTORS; and U.S. Provisional Application No. 61/194,099, filed Sep. 23, 2008, by Daboussi et al., entitled PROPELLER DRIVE UNIT FOR HALE UAV. The present application is also related to the following applications, which are hereby incorporated by reference in their entireties: U.S. Non-provisional application Ser. No. 12/565,705, filed Sep. 23, 2009, entitled COMPRESSED MOTOR WINDING, by Rippel et al., U.S. Pat. No. 9,035,526, Issued May 19, 2015; U.S. Non-provisional Application No. 12/565,715, filed Sep. 23, 2009, entitled MOTOR AIR FLOW COOLING, by Sheppard et al., U.S. Pat. No. 8,604,652, Issued Dec. 10, 2013; and U.S. Non-provisional application Ser. No. 12/565,710, filed Sep. 23, 2009, entitled STATOR WINDING HEAT SINK CONFIGURATION, by Daboussi et al., U.S. Pat. No. 8,723,378, Issued May 13, 2014. BACKGROUND Electric motors for vehicles need to have high efficiency to conserve power. Furthermore, in unmanned or manned vehicles, light weight and compact electric motors are also desirable. Thus, ironless motors are often used which can provide the benefit of no iron losses due to changing flux direction. Ironless motors, however, suffer from poor field strength in the gap. Motors are normally rated for the peak power and efficiency of the motor. In some applications, high part load efficiency is desired, which is high efficiency when machine is loaded at a partial load, i.e. 15% or some other percent. What is needed is a higher efficiency compact motor. SUMMARY In one possible embodiment, a magnet array for a motor is provided which has an array of permanent magnets being arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array, the array further includes flux concentrators located at poles on the reinforcing side of the array. In another possible embodiment, a magnet array is provided for a motor having an array of permanent magnets arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array. In this embodiment, the magnets are arranged such that the magnetic moments of adjacent magnets are oriented in directions separated by about 45 degrees, and such that a magnet within the array comprises a magnetic moment oriented generally perpendicular to the reinforcing side of the array. The embodiments may be combined and other embodiments are possible. BRIEF DESCRIPTION OF THE DRAWINGS The features and advantages of the present invention will be better understood with regard to the following description, appended claims, and accompanying drawings where: FIG. 1 shows a simplified exploded perspective view of an example motor. FIG. 2 shows a simplified cross sectional side view of the motor of FIG. 1 along its longitudinal axis. FIG. 3 shows a simplified cut away front view of a portion of a possible embodiment of a permanent magnet motor. FIG. 4 shows a simplified cut away front view of a portion of a possible another embodiment of a permanent magnet motor. FIGS. 5A & B are a simplified cut away front views illustrating a B field in a permanent magnet motor without and with flux concentrators, respectively. DESCRIPTION FIG. 1 shows a simplified exploded perspective view of an example motor 10 along axis 22. A stator 40 is secured to a housing 60. Inner rotor 50 and outer rotor 30 are secured to each other and surround the stator 40. An optional propeller hub 75, into which propeller blades 70 are mounted, is secured to the inner rotor 50. The propeller hub 75 rotatably mounts on the spindle 65 with bearings 16 and 18. The bearings 16 and 18 are retained by retainers 20 and 14 and cover 12. FIG. 2 shows a simplified cross-sectional side view of the motor 10 of FIG. 1 along its longitudinal axis 22. The stator 40 is located between magnets 35 and 55 of the inner and outer rotors 50 and 30, respectively. The propeller hub 75 is bonded to the inner rotor 50 which is rotatably mounted on the spindle 65. The spindle 65 may be fabricated of carbon fiber or other suitable material. FIG. 3 shows a simplified cut away front view of a portion 300 of a possible embodiment of a permanent magnet motor. In FIG. 3, a stator 340 having a winding 345 is located between inner and outer magnet assemblies 355 and 335 of inner and outer rotors 350 and 330. The inner and outer magnet assemblies 355 and 335 have magnets 355a-g and 335a-g arranged with the permanent magnetic fields oriented as indicated by arrows within the magnets 355a-g and 335a-g. The magnetic orientations 357a-g of magnets 355a-g, or the magnetic orientations 337a-g of magnets 335a-g, are similar to those in a Halbach array. In a Halbach array, permanent magnets are arranged such that flux from the permanent magnets reinforce on one side of the array and substantially cancel on an opposite side of the array. Distinguished from a Halbach array, however, various embodiments have flux concentrators 335x-z and 355x-z, provided in the inner and outer magnet assemblies 355 and 335. The flux concentrators 335x-z and 355x-z increase the flux density B onto the area of the winding 345. The force and therefore the torque resulting from the flux density B is calculated from this formula F=B×I×L, where I is the current in the wire and L is the length of the wire in the B field. Thus, increasing the B field density on each Litz wire 345a, increases the force F on the in the wire 340a. Increasing the flux concentration on the same wire, at the same length and current, results in higher force on the wire 340a to improve efficiency. Without the flux concentrators 335x-z and 355x-z fringing can occur which reduces the flux density in the wire 345a. FIG. 5A is a simplified cut away front view illustrating how the B field 542 in the gap 545b fringes without the flux concentrators, resulting in less flux density in the conductor 545b. With flux concentrators 535x and 555x as shown in FIG. 5B, however, the B field 548 has higher density in the wire 545b. Referring to FIG. 3, the flux concentrators 355x-z and 335x-z are located within the inner and outer magnet assemblies 355 and 335 in opposing positions across the gap 349. They are located in positions where the magnetic fields 346, 347, and 348 reinforce, and in positions where the magnetic fields 346, 347, and 348 cancel, on opposing surfaces 335s and 355s of the gap 349. The flux concentrators 355x-z are located between the gap 349 and respective back magnets 355b, 355d, and 355f. Similarly, the flux concentrators 335x-z are located between the gap 349 and respective back magnets 355b, 355d, and 355f. The flux concentrators 355x-z and 335x-z may be made of iron, or other magnetic material. The iron material form poles that collect and concentrate the flux from the magnets. Field strength is limited in readily available permanent magnets to about 1 Tesla. Iron on the other hand, can support 2 Teslas. By using poles along with magnets to force flux across the gap 349, a greater fields 346, 347, and 348, in the gap 349 may be possible. Motor torque is proportional to the field, so as at a fixed torque, doubling the field cuts the I2R losses by ¼. As used herein, ironless motor means no iron in the winding. The flux concentrators are not limited to iron and may be made of other magnetic materials and high magnetic moment materials. Although shown as one half the thickness of the corresponding back magnets 335b, 335d, and 335f, the flux concentrators 335x, 335y, and 335z may be larger, or smaller than the back magnet, depending on the materials used and the strength of the magnets. Furthermore, the respective widths of the between magnets 335a, 335c, 335d, and 335g, and the flux concentrator and/or the back magnets 335b, 335d, 335f, may be different and need not be equal. The spacing and orientations/periodicity of the magnets with respect to the number and spacing of windings in the rotor should be matched, so that the fields in the gap generate additive currents in the stator windings. FIG. 4 shows a simplified cut away front view of a portion 500 of a possible another embodiment of a permanent magnet motor. In this embodiment, the orientation of the magnetic moments of successive permanent magnets in the outer rotor array 535 are each rotated 45 degrees or π/4 radians, with respect to an adjacent magnet. Similarly, the orientation of the magnetic moments of successive permanent magnets in the inner rotor array 355 are each rotated 45 degrees with respect to an adjacent magnet. The outer magnets 535 are oriented such that they reinforce at −90 degrees at magnet 535d and cancel at 90 degrees at magnet 535h at the gap surface 535s in the outer array 535, and the inner magnets 555 are oriented such that they reinforce at 90 degrees at magnet 555h and cancel at −90 degrees at magnet 555d at the gap surface 555s. An advantage of orienting the magnets with 45 degrees of separation, and including 90 degree orientation with respect to the stator winding 545, as shown in FIG. 4, is that it provides a higher back EMF than a 60, 30, −30, −60 degree orientations. In some embodiments the embodiment of FIG. 4 provided about a 10% higher back EMF than a 60, 30, −30, −60 degree orientations. Embodiments and implementation of the present invention are not limited to the motor embodiments shown in FIGS. 3 and 4. The magnet arrays described herein may be applied to various axial or radial motors, or to other Halbach array/cylinder/sphere devices, or the like, including wigglers, and are not limited to use in dual rotor motors. As used herein, array is intended to cover cylinders, spheres, or the like, utilizing the array structure. Furthermore, embodiments and implementations are not limited to aircraft motors, but may also be employed in automobiles, machinery, instruments, space, or other applications. It is worthy to note that any reference to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment may be included in an embodiment, if desired. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment. The illustrations and examples provided herein are for explanatory purposes and are not intended to limit the scope of the appended claims. This disclosure is to be considered an exemplification of the principles of the invention and is not intended to limit the spirit and scope of the invention and/or claims of the embodiment illustrated. Those skilled in the art will make modifications to the invention for particular applications of the invention. The discussion included in this patent is intended to serve as a basic description. The reader should be aware that the specific discussion may not explicitly describe all embodiments possible and alternatives are implicit. Also, this discussion may not fully explain the generic nature of the invention and may not explicitly show how each feature or element can actually be representative or equivalent elements. Again, these are implicitly included in this disclosure. Where the invention is described in device-oriented terminology, each element of the device implicitly performs a function. It should also be understood that a variety of changes may be made without departing from the essence of the invention. Such changes are also implicitly included in the description. These changes still fall within the scope of this invention. Further, each of the various elements of the invention and claims may also be achieved in a variety of manners. This disclosure should be understood to encompass each such variation, be it a variation of any apparatus embodiment, a method embodiment, or even merely a variation of any element of these. Particularly, it should be understood that as the disclosure relates to elements of the invention, the words for each element may be expressed by equivalent apparatus terms even if only the function or result is the same. Such equivalent, broader, or even more generic terms should be considered to be encompassed in the description of each element or action. Such terms can be substituted where desired to make explicit the implicitly broad coverage to which this invention is entitled. It should be understood that all actions may be expressed as a means for taking that action or as an element which causes that action. Similarly, each physical element disclosed should be understood to encompass a disclosure of the action which that physical element facilitates. Such changes and alternative terms are to be understood to be explicitly included in the description. Having described this invention in connection with a number of embodiments, modification will now certainly suggest itself to those skilled in the art. The example embodiments herein are not intended to be limiting, various configurations and combinations of features are possible. As such, the invention is not limited to the disclosed embodiments, except as required by the appended claims.",H02K12706,H02K127,20160112,20180410,20160721,68952.0 10,14987534,ACCEPTED,SEMICONDUCTOR DEVICE,"The problem was that the high-impedance state of the difference between signals DQS and DQSB cannot be prevented from being brought in. With this invention, a first comparator circuit outputs a signal DQSIN representing the difference between DQS and DQSB after the coupling of input terminals to a terminal potential and from before the start timing of a preamble of the two signals. A second comparator circuit compares the level of DQS or DQSB with a reference voltage Vref and outputs a signal ODT_DET representing the result of the comparison. A gate circuit masks the signal DQSIN with a signal EW in a masking state. A control circuit identifies the start timing of the preamble based on ODT_DET, and sets the signal EW to the masking state before the start of the preamble and to an unmasking state from the start timing of the preamble.","1. A semiconductor device, comprising: a data receiving circuit coupled to a data input terminal receiving the data signal from a memory, and a data strobe receiving circuit coupled to a first and a second input terminal receiving the data strobe signal and the inverted data strobe signal from the memory, respectively, the data strobe receiving circuit including: a termination circuit which couples the first and the second input terminal to a terminal potential based on a termination enable signal; a first comparator circuit which outputs the difference between the data strobe signal and the inverted data strobe signal; a second comparator circuit which compares the level of one of the data strobe signal and the inverted data strobe signal; a gate circuit which masks the output signal of the first comparator circuit with a mask signal; and a control circuit which identifies the start timing of the preamble of the data strobe signal and the inverted data strobe signal based on the output signal of the second comparator circuit, and sets the mask signal that instructs a masking state of the output signal of the first comparator circuit until the start of the preamble, wherein the control circuit sets the mask signal that instruct a unmasking state of the output signal of the first comparator after the start of the preamble, and wherein the data receiving circuit outputs the data signal as a read data from the memory based on an output signal of the gate circuit while the mask signal indicates the unmasking state. 2. The semiconductor device according to claim 1, wherein the data receiving circuit includes: a data receiver receiving the data signal; a first and a second capture unit receiving an output signal of the data receiver, respectively, and the output signal of the data receiver is latched in the first capture unit according to the output signal of the gate circuit, and the output signal of the data receiver is latched in the second capture unit according to an inverted signal of the output signal of the gate circuit, and a selector selecting alternatively the output signal of the first and the second capture unit and the output signal of the first and the second capture unit output as the read data from the memory. 3. The semiconductor device according to claim 1, wherein the control circuit sets the mask signal to the masking state after the end timing of the postamble of the data strobe signal and the inverted data strobe signal. 4. The semiconductor device according to claim 3, further comprising: a read control logic which outputs a masking enable signal to the gate circuit before the start timing of the postamble; wherein the control circuit outputs the mask signal that indicates the unmasking state to the gate circuit at the start timing of the preamble; and wherein the gate circuit includes a logic circuit which performs a logical operation between the output signal of the first comparator circuit and the mask signal, and a mask signal generating circuit which sets the mask signal to the unmasking state from the start timing of the preamble based on the unmasking instruction signal, and sets the mask signal to the masking state after the end timing of the postamble based on the masking enable signal. 5. The semiconductor device according to claim 1, wherein the control circuit identifies the timing of a first change in the level of the signal output from the second comparator circuit as the start timing of the preamble. 6. The semiconductor device according to claim 1, wherein the second comparator circuit compares the level of the data strobe signal with the reference voltage, and wherein the terminal potential is a power supply voltage. 7. The semiconductor device according to claim 1, wherein the second comparator circuit compares the level of the inverted data strobe signal with the reference voltage, and wherein the terminal potential is a ground potential."," BACKGROUND The present invention relates to a semiconductor device. More particularly, the invention relates to a semiconductor device that includes a data strobe signal receiving circuit. In the related art, there have been known techniques which, upon readout from a DRAM, prevent the high-impedance (intermediate level) state of a data strobe signal output from the DRAM from being brought in. For example, Japanese Unexamined Patent Publication No. 2008-103013 describes a data strobe receiver in which a memory read control circuit inputs a read request signal related to the reading of data from a memory and a burst length information signal related to a read request. When the read request signal becomes active, the memory read control circuit controls a pull-up circuit to pull up a data strobe signal DQS. Upon detecting the transition of the data strobe signal DQS from the High level to the Low level, the memory read control circuit sets a mask signal to an unmasking state. The memory read control circuit sets the mask signal to a masking state upon determining that the data strobe signal has repeated predetermined transitions on the basis of the burst length information signal. Subsequent to the repeated transitions, a postamble of the data strobe signal DQS is started. At the end of a postamble period, the memory read control circuit pulls up the data strobe signal DQS to the High level."," SUMMARY However, the data strobe receiver described in the above-cited patent publication merely receives the single data strobe signal DQS and is designed to prevent the high-impedance state of only one data strobe signal from getting brought in. Meanwhile, there exist data strobe receivers that receive complementary data strobe signals DQS and DQSB. By detecting the difference between the received signals DQS and DQSB, this type of data strobe receiver can reduce the noise superposed on the signals DQS and DQSB. The problem is that the method described in the above-cited patent publication cannot be applied to this data strobe receiver that receives the complementary data strobe signals DQS and DQSB. That is because the data strobe receiver that receives the complementary data strobe signals pulls up the difference therebetween to the high-impedance state, whereas the method of the above-described patent publication is incapable of preventing the high-impedance state thus generated from being brought in. According to one embodiment of the present invention, there is provided a data strobe receiving circuit including a first and a second comparator circuit. The first comparator circuit outputs the difference between a data strobe signal and an inverted data strobe signal after the coupling of an input terminal to a terminal potential and from before the start of a preamble. The second comparator circuit compares the level of either the data strobe signal or the inverted data strobe signal with a reference voltage and outputs a signal representing the result of the comparison to a control circuit. The data strobe receiving circuit further includes a gate circuit and the control circuit. The gate circuit masks the output signal of the first comparator circuit using a mask signal. The control circuit identifies the start timing of the preamble on the basis of the signal output from the second comparator circuit, sets the mask signal to the masking state before the start of the preamble, and sets the mask signal to the unmasking state from the start timing of the preamble. Thus according to one embodiment of the present invention outlined above, the high-impedance state of the difference between the signals DQS and DQSB can be prevented from being brought in.","CROSS-REFERENCE TO RELATED APPLICATIONS This application is a Continuation application of U.S. patent application Ser. No. 14/526,483, filed on Oct. 28, 2014 which in turn claims the benefit of Japanese Patent Application No. 2013-231020 filed on Nov. 7, 2013 including the specification, drawings and abstract is incorporated herein by reference in their entireties. BACKGROUND The present invention relates to a semiconductor device. More particularly, the invention relates to a semiconductor device that includes a data strobe signal receiving circuit. In the related art, there have been known techniques which, upon readout from a DRAM, prevent the high-impedance (intermediate level) state of a data strobe signal output from the DRAM from being brought in. For example, Japanese Unexamined Patent Publication No. 2008-103013 describes a data strobe receiver in which a memory read control circuit inputs a read request signal related to the reading of data from a memory and a burst length information signal related to a read request. When the read request signal becomes active, the memory read control circuit controls a pull-up circuit to pull up a data strobe signal DQS. Upon detecting the transition of the data strobe signal DQS from the High level to the Low level, the memory read control circuit sets a mask signal to an unmasking state. The memory read control circuit sets the mask signal to a masking state upon determining that the data strobe signal has repeated predetermined transitions on the basis of the burst length information signal. Subsequent to the repeated transitions, a postamble of the data strobe signal DQS is started. At the end of a postamble period, the memory read control circuit pulls up the data strobe signal DQS to the High level. SUMMARY However, the data strobe receiver described in the above-cited patent publication merely receives the single data strobe signal DQS and is designed to prevent the high-impedance state of only one data strobe signal from getting brought in. Meanwhile, there exist data strobe receivers that receive complementary data strobe signals DQS and DQSB. By detecting the difference between the received signals DQS and DQSB, this type of data strobe receiver can reduce the noise superposed on the signals DQS and DQSB. The problem is that the method described in the above-cited patent publication cannot be applied to this data strobe receiver that receives the complementary data strobe signals DQS and DQSB. That is because the data strobe receiver that receives the complementary data strobe signals pulls up the difference therebetween to the high-impedance state, whereas the method of the above-described patent publication is incapable of preventing the high-impedance state thus generated from being brought in. According to one embodiment of the present invention, there is provided a data strobe receiving circuit including a first and a second comparator circuit. The first comparator circuit outputs the difference between a data strobe signal and an inverted data strobe signal after the coupling of an input terminal to a terminal potential and from before the start of a preamble. The second comparator circuit compares the level of either the data strobe signal or the inverted data strobe signal with a reference voltage and outputs a signal representing the result of the comparison to a control circuit. The data strobe receiving circuit further includes a gate circuit and the control circuit. The gate circuit masks the output signal of the first comparator circuit using a mask signal. The control circuit identifies the start timing of the preamble on the basis of the signal output from the second comparator circuit, sets the mask signal to the masking state before the start of the preamble, and sets the mask signal to the unmasking state from the start timing of the preamble. Thus according to one embodiment of the present invention outlined above, the high-impedance state of the difference between the signals DQS and DQSB can be prevented from being brought in. BRIEF DESCRIPTION OF THE DRAWINGS Further objects and advantages of the present invention will become apparent upon a reading of the following description and appended drawings in which: FIG. 1 is a diagram showing a structure of a semiconductor device as a first embodiment of the present invention; FIG. 2 is a timing chart in effect when data is read from a DDR-SDRAM in the first embodiment; FIG. 3 is a diagram showing a structure of a semiconductor device as a second embodiment of the present invention; FIG. 4 is a diagram showing a structure of a DDR-PHY in the second embodiment; FIG. 5 is a diagram showing a structure of a DQS-IO in the second embodiment; FIG. 6 is a timing chart in effect when data is read from a DDR-SDRAM in the second embodiment; FIG. 7 is a diagram explaining how, after the start of a DQS/DQSB preamble, an ODT enable signal DQS_ODTE is activated to the High level; FIG. 8 is a diagram showing a structure of a DDR-PHY in a third embodiment of the present invention; FIG. 9 is a diagram showing a structure of a DQS-IO in the third embodiment; and FIG. 10 is a timing chart in effect when data is read from a DDR-SDRAM in the third embodiment. DETAILED DESCRIPTION Some preferred embodiments of the present invention are described below with reference to the accompanying drawings. First Embodiment FIG. 1 is a diagram showing a structure of a semiconductor device 101 as the first embodiment of the present invention. Referring to FIG. 1, the semiconductor device 101 includes a DQS receiving circuit 102. The DQS receiving circuit 102 includes an input terminal 81, an input terminal 82, a termination circuit 103, a first comparator circuit 104, a gate circuit 105, a control circuit 106, and a second comparator circuit 107. The input terminal 81 receives a data strobe signal DQS output from a memory. The input terminal 82 receives an inverted data strobe signal DQSB output from the memory. The termination circuit 103 couples the input terminals 81 and 82 to a terminal potential from before the start timing of a DQS/DQSB preamble. After the input terminals 81 and 82 are coupled to the terminal potential and before the start timing of the DQS/DQSB preamble, the first comparator circuit 104 outputs a DQS input signal DQSIN representing the difference between the data strobe signal DQS and the inverted data strobe signal DQSB. The second comparator circuit 107 compares the level of either the data strobe signal DQS or the inverted data strobe signal DQSB with a reference voltage Vref, and outputs an ODT (On-Die Termination) state detection signal ODT_DET representing the result of the comparison. The gate circuit 105 masks the DQS input signal DQSIN output from the first comparator circuit 105 using a DQS mask signal EW in the masking state. On the basis of the ODT state detection signal ODT_DET output from the second comparator circuit 107, the control circuit 106 identifies the start timing of the DQS/DQSB preamble and controls the level change of the DQS mask signal EW accordingly. Before the start of the DQS/DQSB preamble, the control circuit 106 sets the DQS mask signal EW to the masking state. From the start timing of the DQS/DQSB preamble, the control circuit 106 sets the DQS mask signal EW to the unmasking state. FIG. 2 is a timing chart in effect when data is read from a DDR-SDRAM 6 in the first embodiment. The DQS/DQSB preamble is a portion where the complementary data strobe signals DQS and DQSB go Low and High respectively before the signals start to toggle. A DQS/DQSB postamble is a portion where the complementary data strobe signals DQS and DQSB go Low and High respectively after they stop toggling. Because the termination circuit 103 couples the input terminals 81 and 82 to the terminal potential so that the input terminals 81 and 82 are pulled up, the DQS input signal DQSIN output from the first comparator circuit 104 takes an indefinite value before the start of the DQS/DQSB preamble and at the end of the DQS/DQSB postamble. Before the start of the DQS/DQSB preamble, the control circuit 106 sets the DQS mask signal EW to a Low-level masking state. This causes the gate circuit 105 to mask the DQS input signal DQSIN of the indefinite value using the DQS mask signal EW (Low level) in the masking state. At the start timing of the DQS/DQSB preamble, the control circuit 106 sets the DQS mask signal EW to a High-level unmasking state. This allows the gate circuit 105 not to mask the DQS input signal DQSIN using the DQS mask signal EW. At the end of the DQS/DQSB postamble, the DQS input signal DQSIN output from the first comparator circuit 104 again takes an indefinite value. At the end timing of the DQS/DQSB postamble, the control circuit 106 sets the DQS mask signal EW to the Low-level masking state. This causes the gate circuit 105 to mask the DQS input signal DQSIN of the indefinite value using the DQS mask signal EW (Low level) in the masking state. According to the first embodiment described above, the data strobe receiver receiving the complementary data strobe signals can be prevented from bringing in the high-impedance state of the difference between the signals DQS and DQSB before the preamble. Second Embodiment FIG. 3 is a diagram showing a structure of a semiconductor device 500 as the second embodiment of the present invention. The semiconductor device 500 includes a DDR-SDRAM (Double Data Rate-Synchronous Dynamic Random Access Memory) 6, a DDR-PHY (DDR-PHYsical Interface) 2, a DDR memory controller 3, a system bus 4, a CPU (Central Processing Unit) 1, and a system register 5. An LSI (large Scale Integration) 7 and the DDR-SDRAM 6 are mounted over a printed circuit board 70. The LSI 7 includes the DDR-PHY 2 and DDR memory controller 3. There are provided a clock signal CLK, a command signal, an address signal, a data signal DQ, and complementary data strobe signals DQS and DQSB as the interface signals between the DDR-PHY 2 and the DDR-SDRAM 6. At both a rising and a falling edge of the synchronous clock signal, the DDR-SDRAM 6 outputs data (read) and inputs data (write). The DDR-PHY 2 converts parallel data coming from the DDR memory controller 3 into serial data and sends the data to the DDR-SDRAM 6. The DDR-PHY 2 also converts serial data coming from the DDR-SDRAM 6 into parallel data and sends the data to the DDR memory controller 3. The DDR memory controller 3 controls the DDR-SDRAM 6. The system bus 6 is a bus that couples the CPU 1 to the DDR memory controller 3. The CPU 1 operates on a core clock signal C_CLK. The CPU 1 orders the reading of data from the DDR-SDRAM 6 and the writing of data thereto. The system register 5 holds impedance information representative of the magnitude of the impedance between the DDR-SDRAM 6 and the DDR-PHY 2. FIG. 4 is a diagram showing a structure of the DDR-PHY 2 in the second embodiment. The DDR-PHY 2 includes a DQ receiving circuit 92 and a DQS receiving circuit 91. The DQ receiving circuit 92 includes a DQ-IO 11, a delay circuit 16, a first rising edge capture flip-flop 34, a first falling edge capture flip-flop 35, a rising edge read FIFO 36, a falling edge read FIFO 37, a selector 38, and a flip-flop 39. The DQS receiving circuit 91 includes an input terminal 81, an input terminal 82, a DQS-IO 12, a DQS gate circuit 23, a read control logic 15, a reference voltage control circuit 14, a reference voltage generating circuit 13, an EW open control circuit 24, an inverter 17, a DLL (Delay-Locked Loop) circuit 18, and a DLL circuit 19. The DQ-IO 11 includes a differential receiver circuit 20. The differential receiver circuit 20 compares a data signal DQ with a reference voltage Vr. The delay circuit 16 delays the output of the differential receiver circuit 20. The first rising edge capture flip-flop 34 latches the output from the delay circuit 16 at a rising edge of a first correction data strobe signal dqs90 output from the DLL circuit 18. The first falling edge capture flip-flop 35 latches the output from the delay circuit 16 at a rising edge of a second correction data strobe signal dqs270 output from the DLL circuit 19. The rising edge read FIFO 36 holds the output of the first rising edge capture flip-flop 34 on a first-in first-out basis. The falling edge read FIFO 37 holds the output of the first falling edge capture flip-flop 35 on a first-in first-out basis. The selector 38 selects alternatively the output of the rising edge read FIFO 36 or the output of the falling edge read FIFO 37. The flip-flop 39 forwards the output of the selector 38 as read data RD to the DDR memory controller 3. The read control logic 15 controls the reading of data from the DDR-SDRAM 6 in accordance with the instruction sent from the CUP 1 to read data from the DDR-SDRAM 6. The read control logic 15 outputs a close signal (masking enable signal) Close to the DQS gate circuit 23 and an open signal (unmasking enable signal) Open to the EW open control circuit 24. The read control logic 15 also outputs an ODT enable signal DQS_ODTE to the DQS-IO 12 and a DQS input enable signal DQS_IE to the DQS-IO 12 and to the EW open control circuit 24. As with the CPU 1, the read control logic 15 operates on the core clock signal C_CLK. The reference voltage control circuit 14 determines the magnitude of the reference voltage Vref based on the impedance information sent from the system register 5. The reference voltage generating circuit 13 outputs the reference voltage Vref of which the magnitude has been determined by the reference voltage control circuit 14. The input terminal 81 receives the data strobe signal DQS output from the DDR-SDRAM 6. The input terminal 82 receives the inverted data strobe signal DQSB output from the DDR-SDRAM 6. Upon readout from the DDR-SDRAM 6, the DQS-IO 12 outputs a DQS input signal DQSIN and an ODT state detection signal ODT_DET based on the complementary data strobe signals DQS and DQSB output from the DDR-SDRAM 6, on the reference voltage Vref, on the DQS input enable signal DQS_IE, and on the ODT enable signal DQS_ODTE. FIG. 5 is a diagram showing a structure of the DQS-IO 12 in the second embodiment. The DQS-IO 12 includes a differential receiver circuit 21, a single receiver circuit 22, and a termination circuit 53. The termination circuit 53 serves to suppress signal reflection, and includes switches SW1 and SW2 and resistors R1 and R2 to be coupled to a VCCQ terminal bearing a power supply voltage VCCQ (e.g., 1.5 V). The switch SW1 and the resistor R1 are located interposingly between the VCCQ terminal and the input terminal 82. The switch SW2 and the resistor R2 are located interposingly between the VCCQ terminal and the input terminal 81. The switches SW1 and SW2 are controlled by the ODT enable signal DQS_ODTE sent from the read control logic 15. When the ODT enable signal DQS_ODTE is activated to the High level during an ODT effective period, the switches SW1 and SW2 are turned on. With the switches SW1 and SW2 turned on, the voltages of the input terminals 81 and 82 are pulled up to the power supply voltage VCCQ. As a result, even if the DDR-SDRAM 6 drives the data strobe signal DQS to the Low level upon data readout, the VCCQ terminal of the DDR-PHY 2 remains effective so that the data strobe signal DQS does not drop to 0 V. This prevents CMOS logic gates such as AND circuits from detecting the level of the data strobe signal DQS. In the second embodiment, the single receiver circuit 22 is used to compare the data strobe signal DQS with the reference voltage Vref in magnitude so as to detect the level of the data strobe signal DQS. When the DQS input enable signal DQS_IE is at the High level, the differential receiver circuit 21 generates a DQS input signal DQSIN representing the voltage difference between the input terminals 81 and 82. The differential receiver circuit 21 outputs the Low level when the DQS input enable signal DQS_IE is at the Low level. The single receiver circuit 22 compares the voltage of the input terminal 81 with the reference voltage Vref to find the difference therebetween, and outputs the ODT state detection signal ODT_DET accordingly. The DQS gate circuit 23 generates a DQS mask signal EW (Enable Window) based on the DQS input signal DQSIN output from the DQS-IO 12, on the EW open signal (unmasking instruction signal) output from the EW open control circuit 24, and on the close signal (masking enable signal) Close output from the read control logic 15. Given the generated DQS mask signal EW and the DQS input signal DQSIN, the DQS gate circuit 23 outputs a gate DQS signal gated_DQSB as a masked DQS signal. The DQS gate circuit 23 includes a NAND circuit 25 and a mask signal generating unit 69. The NAND gate 25 outputs the gate DQS signal gated_DQSB as the NAND of the DQS input signal DQSIN and the DQS mask signal EW. The gate DQS signal gated_DQSB turns into the inverted data strobe signal DQS when the DQS mask signal EW is at the High level (unmasking state). The gate DQS signal gated_DQSB is at the High level when the DQS mask signal EW is at the Low level (masking state) regardless of the data strobe signal DQS. The mask signal generating unit 69 sets the DQS mask signal to the unmasking state on the basis of an activated EW open signal EW_open (unmasking instruction signal) coming from the EW open control circuit 24. The mask signal generating unit 69 further sets the DQS mask signal EW to the masking state based on an activated close signal Close (masking enable signal) coming from the read control logic 15. The mask signal generating unit 69 includes a control logic 26 and a set bar terminal-equipped fl-type flip-flop 27. The control logic 26 is made up of one or multiple logic circuits and installed as needed. The set bar terminal SB attached to the D-type flip-flop 27 receives the EW open signal EW_open. The data input terminal of the flip-flop 27 receives the output of the control logic 26 which in turn receives the close signal Close. The clock terminal of the flip-flop 27 receives the gate DQS signal gated_DQSB output from the NAND circuit 25. The output terminal of the flip-flop 27 outputs the DQS mask signal EW. The EW open control circuit 24 outputs the EW open signal EW_open to activate the DQS mask signal EW based on the ODT state detection signal ODT_DET output from the DQS-IO 12, on the DQS input enable signal DQS_IE output from the read control logic 15, and on the activated open signal Open (unmasking enable signal) output from the read control logic 15. The EW open control circuit 24 identifies the timing at which the ODT state detection signal ODT_DET from the DQS-IO 12 changes from the High to the Low level for the first time as the start timing of the DQS/DQSB preamble, and sets the EW open signal EW_open to the Low level at the timing thus identified. The EW open control circuit 24 includes selectors 28 and 29, a set bar terminal-equipped D-type flip-flop 31, and a control logic 30. The control logic 30 is composed of one or multiple logic circuits and is installed as needed. The selector 28 in the first stage receives the DQS input enable signal DQS_IE from the read control logic 15 as a select signal. The selector 28 receives the ODT state detection signal ODT_DET output from the DQS-IO 12 and a “1” (1′b1) fixed to the High level (i.e., binary one digit). The selector 28 outputs an ODT state detection signal Sel_ODT_DET. The set bar terminal SB attached to the D-type flip-flop 31 receives the DQS input enable signal DQS_IE. The data input terminal of the flip-flop 31 receives the output of the control logic 30 which in turn receives the open signal Open. The clock terminal of the flip-flop 31 receives the ODT state detection signal Sel_ODT_DET output from the selector 28. The output terminal of the flip-flop 31 outputs a gating signal EW_open_gate. The selector 29 in the second stage receives as the select signal the gating signal EW_open_gate output from the flip-flop 31. The second-stage selector 29 also receives a “1” fixed to the High level (1′b1) and the ODT state detection signal Sel_ODT_DET output from the selector 28. The selector 29 outputs the EW open signal EW_open. The inverter 17 inverts the gate DQS signal gated_DQSB. The DLL 18 outputs the first correction data strobe signal dqs90, a signal obtained by having the output of the inverter 17 (i.e., logically inverted gate DQS signal gated_DQSB) delayed by 90 degrees in phase. The first correction data strobe signal dqs90 is a signal delayed by 90 in phase relative to the data strobe signal DQS. The DLL 19 outputs the second correction data strobe signal dqs270, a signal obtained by having the gate DQS signal gated_DQSB delayed by 90 degrees in phase. The second correction data strobe signal dqs270 is a signal delayed by 270 degrees in phase relative to the data strobe signal DQS. (Operation) FIG. 6 is a timing chart in effect when data is read from the DDR-SDRAM 6 in the second embodiment. First, at the start of readout (1), the levels of the complementary data strobe signals DQS and DQSB output from the DDR-SDRAM 6 are indefinite. The DQS input enable signal DQS_IE and ODT enable signal DQS_ODTE output from the read control logic 15 are at the Low level in the initial state. The Open signal Open (unmasking enable signal) and the close signal Close (masking enable signal) are at the High level in the initial state. Because the DQS input enable signal DQS_IE is at the Low level, the first-stage selector 28 in the EW open control circuit 24 outputs a fixed value (High level) and puts the flip-flop 31 into the set state. As a result, the ODT state detection signal Sel_ODT_DET, the gating signal EW_open_gate, and the EW open signal EW_open are set to the High level. Next, before the start of a DQS/DQSB preamble period (2), the read control logic 15 activates the ODT enable signal DQS_ODTE to the High level. This turns on the switches SW1 and SW2 in the termination circuit 53 to pull up the input terminals 81 and 82 so that the input complementary data strobe signals DQS and DQSB are set to the High level each (indicated with (A)). At this point, the DQS input enable signal DQS_IE is at the Low level, so that the DQS input signal DQSIN output from the differential receiver circuit 21 remains unchanged at the Low level. And because the switches SW1 and SW2 in the DQS-IO 12 are turned on, the ODT state detection signal ODT_DET output from the single receiver circuit 22 is changed to the High level. Since the DQS input signal DQSIN is at the Low level, the close signal Close is at the High level, and the EW open signal EW_open is at the High level, the gate DQSB signal gated_DQSB in the DQS gate circuit 23 is set to the High level and the DQS mask signal EW is set to the Low level. Then at a point in time (3) before the DQS/DQSB preamble period and after the point in time (2), the read control logic 15 activates the DQS input enable signal DQS_IE to the High level. With the DQS input enable signal DQS_IE activated to the High level, the differential receiver circuit 21 executes differential output. Two signals are input to the differential receiver circuit 21: the data strobe signal DQSB coming from the input terminal 81 and pulled up to the High level, and the inverted data strobe signal DQSB coming from the input terminal 82 and pulled up to the High level. The signal input causes the DQS input signal DQSIN output from the differential receiver circuit 21 to become indefinite (indicated with (C)). At this point, the DQS mask signal EW remains at the Low level, so that the NAND circuit 25 in the DQS gate circuit 23 outputs the gate DQSB signal gated_DQSB at the High level even if the DQS input signal DQSIN is indefinite. This allows the indefinite value of the DQS input signal DQSIN to be masked. When the DQS input enable signal DQS_IE is changed to the High level, the first-stage selector 28 in the EW open control circuit 24 outputs the ODT state detection signal ODT_DET (High level) as the ODT state detection signal Sel_ODT_DET (High level). Also at the point in time (3), the read control logic 15 activates the open signal Open to the Lowe level. That is, the unmasking enable signal (Low-level open signal Open) is output. Next, at the point in time (4), the DQS/DQSB preamble period is started. That is, the DDR-SDRAM 6 drives the complementary data strobe signals DQS/DQSB to the Low and the High levels respectively. This sets the DQS input signal DQSIN output from the differential receiver circuit 21 to the Low level. The ODT state detection signal ODT_DET output from the single receiver circuit 22 is changed to the Low level. With the ODT state detection signal ODT_DET changed to the Low level, the ODT state detection signal Sel_ODT_DET output from the first-stage selector 28 in the EW open control circuit 24 is changed to the Low level because the DQS input enable signal DQS_IE is at the High level. The gating signal EW_open_gate output from the flip-flop 31 in the EW open control circuit 24 remains at the High level, and the EW open signal EW_open output from the second-stage selector 29 is activated to the Low level. That is, the unmasking instruction signal (Low-level EW open signal EW_open) is output. When the EW open signal EW_open is changed to the Low level, the flip-flop 27 in the DQS gate circuit 23 is put into the set state. Because the close signal Close is at the High level, the DQS mask signal output from the flip-flop 27 is changed to the High level. With the DQS mask signal EW at the High level, the NAND circuit 25 in the DQS gate circuit 23 outputs the gate DQSB signal gated_DQSB that is an inverted DQS input signal DQSIN. This causes the DQS input signal DQSIN to be unmasked. Next, at the point in time (5), the DQS/DQSB preamble period comes to an end and a DQS toggle period is started. That is, the data strobe signal DQS is changed to the High level and the inverted data strobe signal DQSB is changed to the Low level. This causes the DQS input signal DQSIN output from the differential receiver circuit 21 to be changed to the High level. The ODT state detection signal ODT_DET output from the single receiver circuit 22 is also changed to the High level. With the ODT state detection signal ODT_DET changed to the High level, the ODT state detection signal Sel_ODT_DET is changed to the High level. Because the open signal Open is set to the Low level, the gating signal EW_open_gate output from the flip-flop 31 is changed to the Low level. As a result, the second-stage selector 29 selects the fixed High level, so that the EW open signal EW_open is changed to the High level. When the EW open signal EW_open is changed to the High level, the set state of the flip-flop 27 in the DQS gate circuit 23 is cancelled. The read control logic 15 controls the number of data signals DQ output from the DDR-SDRAM 6 in response to a read request. That is, the read control logic 15 controls the level of the close signal Close based on the burst length. Specifically, when detecting a (burst length−1)th rising edge of the gate DQS signal gated_DQSB upon receipt of a read instruction, the read control logic 15 activates the close signal Close to the Low level (indicated with E). Thus the masking enable signal is output. The Low-level close signal Close (masking enable signal) is sent to the control logic 26 in the DQS gate circuit 23. Then at the point in time (6), a DQS postamble period is started. The DDR-SDRAM 6 drives the complementary data strobe signals DQS and DQSB to the Low and the High levels respectively. When the gate DQS signal gated_DQSB goes High, the close signal Close is set to the Low level, which causes the DQS mask signal EW output from the flip-flop 27 in the DQS gate circuit 23 to be changed to the Low level. Then at the point in time (7), the DQS/DQSB postamble period comes to an end. At this point, the termination circuit 53 pulls up the input terminals 81 and 82, causing the input complementary data strobe signals DQS and DQSB to be brought to the High level each (indicated with (B)). Two signals are input to the differential receiver circuit 21: the data strobe signal DQS coming from the input terminal 81 and pulled up to the High level, and the inverted data strobe signal DQSB coming from the input terminal 82 and pulled up to the High level. The signal input causes the DQS input signal DQSIN output from the differential receiver circuit 21 to become indefinite (indicated with (D)). At this point, the DQS mask signal EW is set to the Low level, so that the NAND circuit 25 in the DQS gate circuit 23 outputs the gate DQSB signal gated_DQSB at the High level even if the DQS input signal DQSIN is indefinite. This causes the indefinite value of the DQS input signal DQSIN to be masked. The series of operations explained above can mask the indefinite value of the DQS input signal DQSIN generated before the DQS/DQSB preamble and after the DQS/DQSB postamble. REFERENCE According to the second embodiment, the ODT enable signal DQS_ODTE is activated to the High level before the DQS/DQSB preamble is started. This causes the input terminals 81 and 82 to be pulled up to set the input complementary data strobe signals DQS and DQSB to the High level each. As a result, during the DQS/DQSB preamble period, noise is prevented from being mixed into the data strobe signal DQS under the influence of reflection. On the other hand, as shown in FIG. 7, if the ODT enable signal DQS_ODTE is activated to the High level after the DQS/DQSB preamble is started, noise can be mixed into the data strobe signal DQS under the influence of reflection until the switches SW1 and SW2 are completely turned on. If noise is mixed into the data strobe signal DQS, a glitch G can be generated in the DQS input signal DQSIN output from the differential receiver circuit 21. Because the DQS mask signal EW is set to the High level at the timing of the DQS/DQSB preamble, a glitch can be mixed into the gate DQS signal gated_DQSB. Third Embodiment FIG. 8 is a diagram showing a structure of the DDR-PHY 302 in the third embodiment of the present invention. The DDR-PHY 302 of FIG. 8 differs from the DDR-PHY 2 of FIG. 4 differs in the following: the DDR-PHY 302 of FIG. 8 is equipped with a DQS-IO 40 replacing the DQS-IO 12 in FIG. 4. Also, an EW open control circuit 43 in FIG. 8 includes an inverter 42 that is not included in the EW open control circuit 24 in FIG. 4. FIG. 9 is a diagram showing a structure of the DQS-IO 40 in the third embodiment. The DQS-IO 40 includes a differential receiver circuit 21, a single receiver circuit 41, and a termination circuit 54. The termination circuit 54 serves to suppress signal reflection and includes switches SW1 and SW2 and resistors R1 and R2 which are grounded. The switch SW1 and resistor R1 are positioned interposingly between the ground and the input terminal 81. The switch SW2 and resistor R2 are positioned interposingly between the ground and the input terminal 82. The switches SW1 and SW2 are controlled with the ODT enable signal DQS_ODTE sent from the read control logic 15. When the ODT enable signal DQS_ODTE is activated to the High level, the switches SW1 and SW2 are turned on. With the switches SW1 and SW2 turned on, the voltages of the input terminals 81 and 82 are pulled down to the ground. The differential receiver circuit 21 is the same as its counterpart included in the DQS-IO 12 of FIG. 5 and thus will not be discussed further. The single receiver circuit 41 compares the voltage of the input terminal 82 with the reference voltage Vref to find the difference therebetween, and outputs the ODT state detection signal ODT_DET accordingly. FIG. 10 is a timing chart in effect when data is read from the DDR-SDRAM 6 in the third embodiment. The timing chart of FIG. 10 differs from that of FIG. 6 differs in the following: at the point in time (2), the read control logic 15 activates the ODT enable signal DQS_ODTE to the High level. This causes the switches SW1 and SW2 in the termination circuit 54 to be turned on. When the switches SW1 and SW2 are turned on in the second embodiment, the input terminals 81 and 82 are pulled up. In the third embodiment, by contrast, with the switches SW1 and SW2 turned on, the input terminals 81 and 82 are pulled down, and the input complementary data strobe signals DQS and DQSB are set to the Low level each (indicated with (J)). Also, when the switches SW1 and SW2 are turned on in the second embodiment, the ODT state detection signal ODT_DET output from the single receiver circuit 22 is changed to the High level. In the third embodiment, by contrast, with the switches SW1 and SW2 turned on, the ODT state detection signal ODT_DET output from the single receiver circuit 41 is changed to the Low level. The single receiver circuit 22 in the second embodiment compares the reference voltage Vref with the input terminal 81 to which the data strobe signal DQS is input. By contrast, the signal receiver circuit 41 in the third embodiment compares the reference voltage Vref with the input terminal 82 to which the inverted data strobe signal DQSB is input. Thus the ODT state detection signal ODT_DET of the third embodiment and the ODT state detection signal ODT_DET of the second embodiment are in reverse phase to each other. However, in the third embodiment, the EW open control circuit 43 has the inverter 42 located upstream of the selector 28. This structure allows the ODT state detection signal Sel_ODT_DET generated by the EW open control circuit 43 to perform the same level transition as the ODT state detection signal Sel_ODT_DET of the second embodiment. As a result, the level transitions of the other signals generated on the basis of the ODT state detection signal Sel_ODT_DET become the same as in the second embodiment. At the point in time (7), the DQS/DQSB postamble period comes to an end. At this point, the termination circuit 54 pulls down the input terminals 81 and 82, causing the input complementary data strobe signals DQS and DQSB to be brought to the Low level each (indicated with (K)). According to the third embodiment described above, even when the termination circuit pulls down the input terminals, the indefinite value of the DQS input signal DQSIN generated before the DQS/DQSB preamble and after the DQS/DQSB postamble can be masked in the same manner as in the second embodiment. It is to be understood that while the invention has been described in conjunction with specific embodiments, it is evident that many alternatives, modifications and variations will become apparent to those skilled in the art in light of the foregoing description. Accordingly, it is intended that the present invention embrace all such alternatives, modifications and variations as fall within the spirit and scope of the appended claims.",G11C114076,G11C114076,20160104,20160628,20160428,82380.0 11,14906890,ACCEPTED,MOTOR VEHICLE HAVING A RETRACTABLE SCREEN,A trim part of a motor vehicle has a gap into which a display device with a screen can be retracted into the interior of the trim part in a covered position. The screen projects into a passenger compartment of the motor vehicle in a use position by moving through the gap from the covered position with a pivot movement. The screen is suspended in the trim part by one or more coupling mechanisms supporting the pivot movement.,"1-9. (canceled) 10. A motor vehicle having a passenger compartment with a trim part, the trim part having an interior and an exterior with a gap in the exterior, said motor vehicle comprising: a display screen retracting into the interior of the trim part in a covered position and projecting into the passenger compartment of the motor vehicle in a use position by pivoting through the gap in the trim part; and at least one coupling mechanism supporting said display screen and enabling the pivoting thereof, said at least one coupling mechanism including at least two pivot elements, each of the pivot elements having first and second ends with the first end mounted in a rotatable manner on the trim part, and a carrier plate, mounted in a pivotable manner in the interior of the trim part at the second ends of the at least two pivot elements, providing a coupling element of said at least one coupling mechanism and having said display screen mounted in a rotationally fixed manner on the carrier plate, the carrier plate and said display screen being mechanically mounted in the trim part solely by the at least two pivot elements. 11. The motor vehicle as claimed in claim 10, wherein each coupling mechanism has four elements. 12. The motor vehicle as claimed in claim 10, further comprising at least one rotary or geared motor having a gear mechanism, and wherein at least one of the pivot elements is coupled in the rotatable manner to the trim part by the gear mechanism of the at least one rotary or geared motor, and as a result acts as a crank in the coupling mechanism. 13. The motor vehicle as claimed in claim 10, wherein said at least one coupling mechanism supporting said display screen is mounted in the trim part without a rail and a guide slot. 14. The motor vehicle as claimed in claim 10, wherein the gap has a gap width measured between walls which delimit the gap, along a normal vector perpendicular to a display surface of said display screen in the use position, the gap width ranging between 1.0 and 1.5 times a thickness of said display screen measured along the normal vector. 15. The motor vehicle as claimed in claim 10, wherein said display screen has a side wall substantially closing the gap in the covered position. 16. The motor vehicle as claimed in claim 10, wherein a first dimension of the carrier plate in a longitudinal direction of the gap is not larger than a second dimension of said display screen in the longitudinal direction. 17. The motor vehicle as claimed in claim 10, wherein, as viewed from an observation point from which a display area of said display screen can be seen from the passenger compartment in the use position, all of said at least one coupling mechanism, provided for mounting said display screen in the trim part, is arranged behind said display screen without protruding beyond said display screen laterally along a transverse axis of the vehicle. 18. The motor vehicle as claimed in claim 10, wherein each of the at least two pivot elements have a center line extending linearly between the first and second ends. 19. The motor vehicle as claimed in claim 10, wherein each of the at least two pivot elements has respective first and second pivot axes at the first and second ends separated by a pivot arm length, and an upper surface, closer to the trim part in the use position than in the covered position, containing at least one line segment at least as long as the pivot arm length."," BACKGROUND Described below is a motor vehicle in which a screen of a display apparatus can be retracted into and extended out of a trim part, for example a dashboard or a center console. A screen of this kind is known, for example, from DE 10 2009 007 991 A1. According to DE 10 2009 007 991 A1, the screen is retracted into an interior of the trim part in a covered position and is directed into a passenger compartment of the motor vehicle in a use position. In order to move the screen between its use position and its covered position, the screen is extended or retracted through a gap in the trim part. In order to ensure that the screen carries out a predetermined pivot movement in the process, the screen has to be guided by a slotted guide at its sides. The provision of a slotted guide for laterally guiding the screen makes the display device undesirably wide. In this respect, DE 10 2007 033 534 A1 discloses guiding the screen by a rail which is formed in a rear face of a housing of the screen. A slide which is connected to the trim part engages into the rail. In order to be able to exert a force onto the screen for extension purposes, a second lever in which a guide slot has to be formed is required so that the point at which force is transmitted from the lever to the screen is displaced along the lever as the screen moves out of the gap. The guide slot can become worn owing to the friction produced when the force is transmitted, and therefore play is created. DE 600 20 192 T2 discloses a screen, which can be retracted into a trim part, for a motor vehicle in which force is transmitted between a drive motor and the screen by plates in which helical or spiral guide slots are formed. Owing to the relatively short lever paths however, a correspondingly higher force likewise acts on the walls of the guide slots, and therefore the plates have to be formed from a relatively solid material so that the guide slots do not become wider owing to wear and play is not produced as a result, it being possible for the play to lead to rattling when traveling over rough terrain."," SUMMARY Describe below is a display device with an extendable screen in a trim part in a motor vehicle, wherein the display device is intended to exhibit a low level of wear and to be of compact construction. In the motor vehicle, a display device is designed, in a similar manner to that in the related art, in such a way that a screen can be moved out of a trim part from a covered position in the interior of the trim part to a use position through a gap in the trim part, that is to say in a dashboard or a center console for example, and therefore the screen projects into a passenger compartment of the motor vehicle, that is to say the display area of the screen for displaying graphical image contents on a display area extends vertically in the passenger compartment for example. The screen executes a respective pivot movement when it is extended out of the trim part and retracted back into the trim part, that is to say the screen is not extended in a straight line vertically upward out of the gap and, respectively, retracted back into the gap, but rather an upper edge of the screen executes, for example, an initially obliquely upwardly directed movement when it is extended, the movement then leading in an arcuate manner upward in the direction toward the end position for the use position. The screen is suspended in the trim part by at least one coupling mechanism in order to generate the pivot movement. This results in the advantage that all of the mechanical parts which are provided for mounting the screen and pivoting the screen in the trim part can be narrower than the screen is wide. In addition, only rotary joints which exhibit relatively low levels of wear are required for realizing a coupling mechanism. In the simplest and therefore most robust embodiment, each coupling mechanism may be a four-joint coupling mechanism. In this case, provision is made, in particular, for at least one of the coupling mechanisms to have two pivot elements, each of which can be designed as a bar or a plate, for example. In general, the meaning of pivot element here is an inherently rigid element which acts as a gear mechanism element of the coupling mechanism and which is coupled in a rotatable manner to a further part at two opposite ends by a bearing device, for example a rotary joint, in each case. In this case, each pivot element is fastened to a carrier plate by way of one of its bearing devices, the screen being mounted on the carrier plate in a rotationally fixed manner. Each pivot element is mounted in a rotatable manner on the trim part by way of the respectively other bearing device. Therefore, in this way, the carrier plate is then arranged, on the whole, in a pivotable manner in the interior of the trim part. The carrier plate therefore forms a coupling element of the coupling mechanism. A coupling mechanism may be provided on opposite sides of the carrier plate in each case. This results in a particularly torsionally rigid suspension. There are therefore two pivot elements on each side in this case, each of the pivot elements, by way of one end, being mounted in a rotatable manner on the carrier plate by one bearing device of the pivot element and on the trim part by the other bearing device of the pivot element. The distance between the bearing devices of the two pivot elements, which bearing devices are mounted on the trim part, may be smaller than the distance between the bearing devices on the carrier plate in this case. The resulting lever action or implementation of the crank gear mechanism allows the display device to be particularly compact. In order to transmit a drive force for the pivot movement of the screen to the screen, the carrier plate of one of the pivot elements may be coupled in a rotatable manner to the trim part by an electric rotary motor and a gear mechanism of the rotary motor, for example a toothed gear mechanism, on at least one side. Therefore, in this case, the rotary motor, together with its gear mechanism, forms one of the bearing devices. The gear mechanism can also be an integral constituent part of the rotary motor (geared motor). The driven pivot element in this case forms a crank in the coupling mechanism which, in this case, converts a rotary movement of the rotary motor into the pivot movement which is then transmitted to the screen according to the design of the coupling mechanism. The second pivot element, which is located on the same side of the carrier plate, then acts as a swing arm. In the motor vehicle, the use of one or more coupling mechanisms means that the carrier plate, together with the screen which is fastened to it, is already suspended in such a stable manner that the carrier plate and the screen are mechanically mounted in the trim part solely by the at least one pivot element according to one embodiment. In this case, signals and electrical energy can be transmitted by flexible cables. The sole use of pivot elements for mechanical mounting means there is a particularly low level of wear in comparison to the use of, for example, rails or guide slots. In other words, the display device therefore may be mounted in the trim part without a rail and/or without a guide slot. This also makes the design of the display device particularly narrow in the longitudinal direction of the gap since there is no need for parts which protrude beyond a length of the gap in the longitudinal direction and are required for the slotted guide or rail guide. The course of the pivot movement can also be adjusted in a highly accurate manner by way of one or more coupling mechanisms. It is possible for the size of the gap, that is to say the gap width of the gap (in contrast to the relatively large gap length) to be selected to be particularly low. In this case, the gap width is measured as the distance between the two walls, which delimit the gap, along a normal vector perpendicular to the display area of the screen in the use position. The gap width may have a ratio in a range of between 1.0 and 1.5 in relation to the screen thickness along the normal vector. In other words, the gap is only marginally wider than and up to 1.5 times as wide as the screen thickness. This results in the advantage that there is also no intermediate space between the screen on the one hand and the walls of the gap on the other hand during the pivot movement, a user of the display device being able to look into the interior of the trim part through the intermediate space or, for example, crumbs or other small objects being able to fall into the interior of the trim part through the intermediate space. A further advantageous embodiment makes provision for a wall of the screen to close the gap in the covered position, that is to say when the screen is retracted into the interior of the trim part. To this end, the screen may be retracted so far into the gap that the narrow side of the screen terminates with a surface of the trim part which adjoins the gap. A separate cover for closing the gap can be dispensed with as a result. A further major advantage of the motor vehicle described below is that a dimension of the carrier plate can be selected independently of a dimension of the screen. The screen only has to be able to be fixedly connected to the carrier plate, and electrical connections of the screen have to be able to be connected to a control circuit for the screen by way of a circuit board which may be arranged on the carrier plate. It is therefore possible for the same type of carrier plate and therefore the same type of coupling mechanism to be installed in different types of motor vehicle, in which different types of screen are intended to be used, with the display device. In this case, the dimension of the carrier plate in a longitudinal direction of the gap may be smaller than or at most equal to a dimension of the screen in the longitudinal direction. The screen therefore forms the widest component of the display device in the longitudinal direction of the gap, this generally corresponding to the transverse direction of the vehicle. Therefore, further electrical and electronic components of the motor car can be arranged in the immediate vicinity of the gap, such as operator control elements for an air conditioning system or else keys for operating an infotainment system for example. The motor vehicle may be a motor car, in particular as a passenger car.","CROSS REFERENCE TO RELATED APPLICATIONS This application is based on and hereby claims priority to International Application No. PCT/EP2014/002013 filed on Jul. 23, 2014 and German Application No. 10 2013 012 473.5 filed on Jul. 26, 2013, the contents of both are hereby incorporated by reference. BACKGROUND Described below is a motor vehicle in which a screen of a display apparatus can be retracted into and extended out of a trim part, for example a dashboard or a center console. A screen of this kind is known, for example, from DE 10 2009 007 991 A1. According to DE 10 2009 007 991 A1, the screen is retracted into an interior of the trim part in a covered position and is directed into a passenger compartment of the motor vehicle in a use position. In order to move the screen between its use position and its covered position, the screen is extended or retracted through a gap in the trim part. In order to ensure that the screen carries out a predetermined pivot movement in the process, the screen has to be guided by a slotted guide at its sides. The provision of a slotted guide for laterally guiding the screen makes the display device undesirably wide. In this respect, DE 10 2007 033 534 A1 discloses guiding the screen by a rail which is formed in a rear face of a housing of the screen. A slide which is connected to the trim part engages into the rail. In order to be able to exert a force onto the screen for extension purposes, a second lever in which a guide slot has to be formed is required so that the point at which force is transmitted from the lever to the screen is displaced along the lever as the screen moves out of the gap. The guide slot can become worn owing to the friction produced when the force is transmitted, and therefore play is created. DE 600 20 192 T2 discloses a screen, which can be retracted into a trim part, for a motor vehicle in which force is transmitted between a drive motor and the screen by plates in which helical or spiral guide slots are formed. Owing to the relatively short lever paths however, a correspondingly higher force likewise acts on the walls of the guide slots, and therefore the plates have to be formed from a relatively solid material so that the guide slots do not become wider owing to wear and play is not produced as a result, it being possible for the play to lead to rattling when traveling over rough terrain. SUMMARY Describe below is a display device with an extendable screen in a trim part in a motor vehicle, wherein the display device is intended to exhibit a low level of wear and to be of compact construction. In the motor vehicle, a display device is designed, in a similar manner to that in the related art, in such a way that a screen can be moved out of a trim part from a covered position in the interior of the trim part to a use position through a gap in the trim part, that is to say in a dashboard or a center console for example, and therefore the screen projects into a passenger compartment of the motor vehicle, that is to say the display area of the screen for displaying graphical image contents on a display area extends vertically in the passenger compartment for example. The screen executes a respective pivot movement when it is extended out of the trim part and retracted back into the trim part, that is to say the screen is not extended in a straight line vertically upward out of the gap and, respectively, retracted back into the gap, but rather an upper edge of the screen executes, for example, an initially obliquely upwardly directed movement when it is extended, the movement then leading in an arcuate manner upward in the direction toward the end position for the use position. The screen is suspended in the trim part by at least one coupling mechanism in order to generate the pivot movement. This results in the advantage that all of the mechanical parts which are provided for mounting the screen and pivoting the screen in the trim part can be narrower than the screen is wide. In addition, only rotary joints which exhibit relatively low levels of wear are required for realizing a coupling mechanism. In the simplest and therefore most robust embodiment, each coupling mechanism may be a four-joint coupling mechanism. In this case, provision is made, in particular, for at least one of the coupling mechanisms to have two pivot elements, each of which can be designed as a bar or a plate, for example. In general, the meaning of pivot element here is an inherently rigid element which acts as a gear mechanism element of the coupling mechanism and which is coupled in a rotatable manner to a further part at two opposite ends by a bearing device, for example a rotary joint, in each case. In this case, each pivot element is fastened to a carrier plate by way of one of its bearing devices, the screen being mounted on the carrier plate in a rotationally fixed manner. Each pivot element is mounted in a rotatable manner on the trim part by way of the respectively other bearing device. Therefore, in this way, the carrier plate is then arranged, on the whole, in a pivotable manner in the interior of the trim part. The carrier plate therefore forms a coupling element of the coupling mechanism. A coupling mechanism may be provided on opposite sides of the carrier plate in each case. This results in a particularly torsionally rigid suspension. There are therefore two pivot elements on each side in this case, each of the pivot elements, by way of one end, being mounted in a rotatable manner on the carrier plate by one bearing device of the pivot element and on the trim part by the other bearing device of the pivot element. The distance between the bearing devices of the two pivot elements, which bearing devices are mounted on the trim part, may be smaller than the distance between the bearing devices on the carrier plate in this case. The resulting lever action or implementation of the crank gear mechanism allows the display device to be particularly compact. In order to transmit a drive force for the pivot movement of the screen to the screen, the carrier plate of one of the pivot elements may be coupled in a rotatable manner to the trim part by an electric rotary motor and a gear mechanism of the rotary motor, for example a toothed gear mechanism, on at least one side. Therefore, in this case, the rotary motor, together with its gear mechanism, forms one of the bearing devices. The gear mechanism can also be an integral constituent part of the rotary motor (geared motor). The driven pivot element in this case forms a crank in the coupling mechanism which, in this case, converts a rotary movement of the rotary motor into the pivot movement which is then transmitted to the screen according to the design of the coupling mechanism. The second pivot element, which is located on the same side of the carrier plate, then acts as a swing arm. In the motor vehicle, the use of one or more coupling mechanisms means that the carrier plate, together with the screen which is fastened to it, is already suspended in such a stable manner that the carrier plate and the screen are mechanically mounted in the trim part solely by the at least one pivot element according to one embodiment. In this case, signals and electrical energy can be transmitted by flexible cables. The sole use of pivot elements for mechanical mounting means there is a particularly low level of wear in comparison to the use of, for example, rails or guide slots. In other words, the display device therefore may be mounted in the trim part without a rail and/or without a guide slot. This also makes the design of the display device particularly narrow in the longitudinal direction of the gap since there is no need for parts which protrude beyond a length of the gap in the longitudinal direction and are required for the slotted guide or rail guide. The course of the pivot movement can also be adjusted in a highly accurate manner by way of one or more coupling mechanisms. It is possible for the size of the gap, that is to say the gap width of the gap (in contrast to the relatively large gap length) to be selected to be particularly low. In this case, the gap width is measured as the distance between the two walls, which delimit the gap, along a normal vector perpendicular to the display area of the screen in the use position. The gap width may have a ratio in a range of between 1.0 and 1.5 in relation to the screen thickness along the normal vector. In other words, the gap is only marginally wider than and up to 1.5 times as wide as the screen thickness. This results in the advantage that there is also no intermediate space between the screen on the one hand and the walls of the gap on the other hand during the pivot movement, a user of the display device being able to look into the interior of the trim part through the intermediate space or, for example, crumbs or other small objects being able to fall into the interior of the trim part through the intermediate space. A further advantageous embodiment makes provision for a wall of the screen to close the gap in the covered position, that is to say when the screen is retracted into the interior of the trim part. To this end, the screen may be retracted so far into the gap that the narrow side of the screen terminates with a surface of the trim part which adjoins the gap. A separate cover for closing the gap can be dispensed with as a result. A further major advantage of the motor vehicle described below is that a dimension of the carrier plate can be selected independently of a dimension of the screen. The screen only has to be able to be fixedly connected to the carrier plate, and electrical connections of the screen have to be able to be connected to a control circuit for the screen by way of a circuit board which may be arranged on the carrier plate. It is therefore possible for the same type of carrier plate and therefore the same type of coupling mechanism to be installed in different types of motor vehicle, in which different types of screen are intended to be used, with the display device. In this case, the dimension of the carrier plate in a longitudinal direction of the gap may be smaller than or at most equal to a dimension of the screen in the longitudinal direction. The screen therefore forms the widest component of the display device in the longitudinal direction of the gap, this generally corresponding to the transverse direction of the vehicle. Therefore, further electrical and electronic components of the motor car can be arranged in the immediate vicinity of the gap, such as operator control elements for an air conditioning system or else keys for operating an infotainment system for example. The motor vehicle may be a motor car, in particular as a passenger car. BRIEF DESCRIPTION OF THE DRAWINGS These and other aspects and advantages will become more apparent and more readily appreciated from the following description of a specific exemplary embodiment, taken in conjunction with the accompanying drawings of which: FIG. 1A is a cross section and FIG. 1B is a perspective view of an embodiment of the motor vehicle with a screen of a display device arranged in a covered position; FIG. 2A is a cross section and FIG. 2B is a perspective view of the motor vehicle from FIG. 1 with the screen moved in a straight line into the interior of the vehicle through a gap; and FIG. 3A is a cross section and FIG. 3B is a perspective view of the motor vehicle from FIG. 1 with the screen in a use position. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Reference will now be made in detail to the preferred embodiments of the present invention, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. In the exemplary embodiment, the described components of the embodiment are each individual features that can be considered independently of one another and that each also develop independently of one another and therefore can also be considered to be a constituent part individually or in a different combination than that shown. Furthermore, the embodiment described can also be augmented by further features from amongst those already described. FIG. 1B shows a perspective view of an interior 12 of a motor vehicle in a lower part of a motor vehicle 10, it being possible for the interior to contain a trim part 14, for example a center console, a dashboard or a curved trim part which is generally called a dome and can be located beneath the windshield. FIG. 1A shows a cross section through the trim part 14 with a display device 18 located in the interior 16 of the trim part 14. The display device 18 can have a screen 20, a carrier plate 22, two pivot elements 24, 26 and an electric drive motor 28. The screen 20 can be fixedly connected to the carrier plate 22. An electronics system for operating the screen 20 can be arranged on the carrier plate 22 or integrated into the carrier plate. The screen 20 can, for example, be fitted onto an electrical connection of the carrier plate 22. The pivot elements 24, 26 can, for example, be rods or plates. The pivot elements 24, 26 are held by rotary joints 32, 34 on one side 30 of the carrier plate 22. Two further pivot elements 24′, 26′ can likewise be arranged on the carrier plate 22 by rotary joints on an opposite second side 30′ of the carrier plate 22. The pivot elements 24, 26, 24′, 26′ can be connected to the trim part 14 in a suspension region (not illustrated). As a result, the carrier plate is suspended from the pivot elements in the trim part 14. The pivot element 24 can be connected to a gear mechanism of the electric motor 28, so that a rotor of the electric motor 28 can rotate the pivot element 24 about a rotation axis by the gear mechanism. The pivot element 26 can be connected in a rotatable manner to a fixed constituent part of the trim part 14 by a rotary joint 36 for example. Therefore, overall, the respectively opposite ends 38, 40 are mounted in a rotatable manner in the case of each pivot element 24, 26, 24′, 26′, wherein the ends 38 are mounted in a rotatable manner on the carrier plate 22, and the ends 40 are mounted in a rotatable manner on the trim part 14. Therefore, overall, the pivot elements 24, 26 and the carrier plate 22 form a simple four-joint system 42 and, accordingly, the pivot elements 24′ and 26′ and the carrier plate 22 also form a further four-joint system 42′. The screen 20 can be extended through a gap 44 in the trim part 14 by rotating the shaft of the electric motor 28, that is to say by operating the electric motor 28, by the coupling mechanism which is formed by the four-joint systems 42, 42′. FIGS. 1A and 1B illustrate the screen 20 in a covered position S1 in which a top face 46 of the screen 20 can terminate flush with an outer surface 48 of the trim part 14, so that the gap 44 is closed by the top face 46. The screen 20 is moved to a use position S 2 (see FIGS. 3A and 3B) with a pivot movement 52 (see FIGS. 2A and 2B) by activating the electric motor 28 when the screen 20 is in its covered position S1 in which a display area 50 is located completely in the interior 16 of the trim part 14. The meaning of “in the interior” is that the screen, apart from its top face 46, is located behind the outer surface 48 of the trim part 14, as seen from the interior of the vehicle, in the covered position S1. In the use position S2, the screen 20 projects into the interior 12 of the vehicle, so that the display area 50 can be seen by a user. The screen 20 can then be retracted back into the trim part 14 from the use position S2 to the covered position S1 by a reversed rotary movement of the shaft of the electric motor 28. The pivot movement 52 can be adjusted by selecting the distances between the respective rotary joints 38, 40 and selecting the length of the pivot elements 24, 26 and 24′, 26′. A distance between the rotary joints 38 on the carrier element 22 on the one hand and a distance between the rotary joints 40 on sides of the trim part 14 on the other hand can be different on each side 30, 30′ of the carrier element 22. In particular, the distance between the rotary joints 40 is smaller than the distance between the rotary joints 38. A width B1 of the carrier plate 22 can be smaller than or equal to a screen width B2 of the screen 20 in a longitudinal direction of an elongate extent of the gap 44. Therefore, the sides 30, 30′ do not protrude beyond the edges of the screen 20 in the direction of the screen width B2, which corresponds to the transverse direction of the vehicle in this case. In other words, the sides 30, 30′ are in alignment with the edges of the screen 20 or, if B1 BACKGROUND Content views such as slides of a slide presentation, pages of a web site, pages of an electronic book, images of a social media site, or other content views are typically navigated by a user under his or her control. For example, by advancing or reversing slides in a slide show of a presentation application, by browsing web pages, by advancing pages of an electronic book and so on. However, where the content views are part of a shared experience, for example, as part of an online lecture, as part of a presentation given in a physical lecture room, as part of an online tutorial or demonstration, the navigation is achieved by a single user such as the lecturer, demonstrator or teacher. The embodiments described below are not limited to implementations which solve any or all of the disadvantages of known content navigation control systems."," SUMMARY The following presents a simplified summary of the disclosure in order to provide a basic understanding to the reader. This summary is not intended to identify key features or essential features of the claimed subject matter nor is it intended to be used to limit the scope of the claimed subject matter. Its sole purpose is to present a selection of concepts disclosed herein in a simplified form as a prelude to the more detailed description that is presented later. A computing device is described comprising a navigation component configured to receive navigation data from a presenter host device. The navigation data is about navigation of a plurality of content views as part of a presentation of the content views being controlled by the presenter host device. The navigation component is configured to enter an audience interactive mode when it receives data from the presenter host device indicating availability of the audience interactive mode. The navigation component, is configured when in the audience interactive mode, to send instructions to the presenter host device to control the navigation of the plurality of content views on the basis of user input received at the computing device. Many of the attendant features will be more readily appreciated as the same becomes better understood by reference to the following detailed description considered in connection with the accompanying drawings.","BACKGROUND Content views such as slides of a slide presentation, pages of a web site, pages of an electronic book, images of a social media site, or other content views are typically navigated by a user under his or her control. For example, by advancing or reversing slides in a slide show of a presentation application, by browsing web pages, by advancing pages of an electronic book and so on. However, where the content views are part of a shared experience, for example, as part of an online lecture, as part of a presentation given in a physical lecture room, as part of an online tutorial or demonstration, the navigation is achieved by a single user such as the lecturer, demonstrator or teacher. The embodiments described below are not limited to implementations which solve any or all of the disadvantages of known content navigation control systems. SUMMARY The following presents a simplified summary of the disclosure in order to provide a basic understanding to the reader. This summary is not intended to identify key features or essential features of the claimed subject matter nor is it intended to be used to limit the scope of the claimed subject matter. Its sole purpose is to present a selection of concepts disclosed herein in a simplified form as a prelude to the more detailed description that is presented later. A computing device is described comprising a navigation component configured to receive navigation data from a presenter host device. The navigation data is about navigation of a plurality of content views as part of a presentation of the content views being controlled by the presenter host device. The navigation component is configured to enter an audience interactive mode when it receives data from the presenter host device indicating availability of the audience interactive mode. The navigation component, is configured when in the audience interactive mode, to send instructions to the presenter host device to control the navigation of the plurality of content views on the basis of user input received at the computing device. Many of the attendant features will be more readily appreciated as the same becomes better understood by reference to the following detailed description considered in connection with the accompanying drawings. DESCRIPTION OF THE DRAWINGS The present description will be better understood from the following detailed description read in light of the accompanying drawings, wherein: FIG. 1A is a schematic diagram of navigation of content views by a presenter in a lecture room; FIG. 1B is a schematic diagram of navigation of content views by a pair of presenters in an online lecture room; FIG. 2 is a schematic diagram of a presenter host device, a public display and two communications devices; FIG. 3 is a schematic diagram of a state machine of a navigation component; FIG. 4 is a message sequence chart depicting an example message sequence between a presenter host device, an individual presenter device and an audience device; FIG. 5 illustrates an exemplary computing-based device in which embodiments of a presenter host device or an individual presenter device, or an audience device are implemented. Like reference numerals are used to designate like parts in the accompanying drawings. DETAILED DESCRIPTION The detailed description provided below in connection with the appended drawings is intended as a description of the present examples and is not intended to represent the only forms in which the present example are constructed or utilized. The description sets forth the functions of the example and the sequence of operations for constructing and operating the example. However, the same or equivalent functions and sequences may be accomplished by different examples. Navigation of content views during a shared presentation of the content views, is typically limited to a single presenter such as a teacher, lecturer or demonstrator. Access to and control of the public display of content views such as slides is typically under the control of one user, which precludes both audience private review of the content views as well as audience control of the public display. However, there is often a need to allow those viewing the shared presentation to be more than mere passive receivers of the content. For example, to enable an audience member to take over control of navigation of the presented content views. This is useful where the audience member wants to revert slides of a shared presentation to an earlier slide, in order to ask a question about that slide for example. However, it is not straightforward to enable audience members to take over control of navigation in a manner which is efficient or robust or easy to use. The present technology provides an efficient technical solution for controlling navigation of content views, such that navigation is achieved by audience members as well as one or more presenters. The solution is versatile since a small number of states of a state machine enable a lot of scenarios to be implemented. The solution is efficient because it explicitly reduces ‘interaction cost’ of the user, presenter or audience members, to control the shared public display and review documents privately. By reducing cost of interaction, it is meant not making higher investments, such as setting up a dedicated presentation room with high-end hardware and software, gathering prior information about audience members, physically relocating within a room, or spending a non-trivial amount of time uploading, sharing, and assigning permissions to documents. Instead the present technology upholds the ‘bring your own device’ paradigm, thus leveraging users' familiarity and making interactions with the system efficient. The technology is found to be robust to failure since loss of communication from individual audience devices is accommodated whilst enabling the presenter host device to continue. The technology is easy to use by audience members and presenters because of use of one or more modes or states of a navigation component at each audience device and at the presenter host device, for example, a review mode and an interaction mode (described in detail below). A navigation component is in one of the specified modes or states and a particular state limits how the navigation component is able to operate. By using states and controlling transitions between states at audience devices the technology enables control of navigation of the content views to be given to and used by audience members and removed from audience members. Communications devices are able to transition between states designed for presenters and states designed for audience members in a dynamic manner throughout a presentation. FIG. 1 is a schematic diagram of navigation of content views 104 by a presenter 100 in a lecture room. A content view is any graphical, image, audio or text data which may be presented in a display. A non-exhaustive list of examples of a content view is: a slide of a slide presentation, a page of a web site, a post of a blog, an email, an image of a social media site, a video clip, a page of an electronic book. In the example of FIG. 1 the content views 104 are slides of a slide presentation being shown on a large display screen in the lecture room controlled by a laptop of the presenter 100 (denoted P in FIG. 1) referred to as a presenter host device 102. Members of the audience 106 (denoted A in FIG. 1) have individual communications devices 108 such as laptop computers, smart phones, tablet computers, wearable computers and others. The presenter host device 102 stores the content views 104 and displays the content views 104 on the large display screen using a content presentation component described in more detail with respect to FIG. 2. The presenter 100 uses the presenter host device 102 to navigate the content views 104 for example by advancing or reversing the slides using the content presentation component. In some examples the presenter 100 has a smart phone, wearable computer, or other mobile computing device which communicates with the presenter host device 102 and which he or she uses to navigate the content views 104. The audience communication devices 108 are in communication with the presenter host device 102. For example, an individual audience communication device 108 establishes a local wireless communications link with the presenter host device 102. The individual audience communication device stores the content views 102 in a local cache at the individual audience communication device in some examples (however, this is not essential). Individual audience members are able to privately review the content views 104 at the audience communication devices 108 in some examples. That is, an audience member can advance or reverse a private view of the content views 104 displayed on his or her communications device 108 independently of the content view navigation by the presenter 100 visible at the public display. This is achieved by using the content views 102 in the local cache in some examples. However, it is also possible for the content views 102 to be streamed to the audience communication device 108 on demand using a wired or wireless communication link. The presenter 100 is able to grant navigation control (of the content views 104 on the lecture room display) to one or more of the audience members. The presenter 100 is able to resume exclusive navigation control of the content views 104 on the lecture room display. This is achieved through the use of a navigation component at individual ones of the audience communication devices and at the presenter host device. In the case that the presenter has an individual device that communicates with the presenter host device 102, the individual device also has a navigation component. FIG. 1B is a schematic diagram of navigation of content views 104 by a pair of presenters 100 in an online lecture room. In this example an online lecture is being made to audience members (denoted A in FIG. 1B) by presenters (denoted P in FIG. 1B). Although two presenters are shown in this examples it is possible to have more than two presenters or to have one presenter (as in FIG. 1A.) Individual parties to the online lecture have communications devices 108, 102 connected to one another via a communications network. A presenter host device 102 stores a plurality of content views which the presenters 100 are able to navigate such that the results of the navigation are visible at audience communication devices 108 such as tablet computers, laptop computers, wearable computers, desktop computers and others. A presenter 100 is able to grant navigation control (of the shared content views 104 of the online lecture) to one or more of the audience members. A presenter 100 communications device is able to remove the ability to control navigation of the shared content views 104 of the online lecture from the audience communication devices 108. This is achieved through the use of navigation components at the communications devices 108, 102 as explained in more detail below. FIG. 2 is a schematic diagram of a presenter host device 200, a public display 214 and two communications devices 216. The presenter host device is computer implemented using any of software, firmware and hardware. For example, it is implemented at the laptop computer 102 in the example of FIG. 1A, at a personal desktop computer 102 in the example of FIG. 1B or at any other computing device. The presenter host device has a memory storing a plurality of content views 210 and it has a content presentation component 202 which enables display of the content views 210 at public display 214 or at any other display or displays such that audience members are able to view the content views in an order specified by a presenter. The content presentation component 202 enables a presenter to navigate the content views; that is, to control the order in which the content views are presented at the public display 214 or any other display or displays visible by audience members. In some examples the content presentation component has functionality to enable authoring of content views. A presenter is any user operating one of the communications devices 216 or the presenter host device 200, where that device has a navigation component 206 in a presenter role. The presenter role is described in more detail below. The presenter host device 200 has an interaction module 204 which is an interface between a navigation component 206 and the content presentation component 202. The interaction module has functionality to enable a presenter to select one or more audience interaction capabilities with respect to specified content views. For example, to allow audience members to mark a content view, to take a snapshot of a content view, to comment on a content view, to privately review content views by navigation independently of the presenter at a local audience device. In some examples the interaction module is a software add-in of the content presentation component 202. However, this is not essential; the interaction module is computer implemented using any one or more of software, hardware, firmware. FIG. 2 shows some but not all components of the presenter host device for clarity. The presenter host device is implemented using a computing device such as that of FIG. 5. The navigation component 206 of the presenter host device is the same as a navigation component 206 at each of the individual communications devices 216 such as audience communication devices and a presenter's individual communication device which communicates with the presenter host device. FIG. 2 shows two communications devices 216 although in practice there are one or more communications devices 216. For example, in the situation of FIG. 1 there are four communications devices but there are possibly several tens or hundreds of communications devices for larger lectures. In the online lecture scenario of FIG. 2 there is a potentially large range of numbers of communications devices, from one to thousands or millions. An individual navigation component 206 comprises a state machine 208 which is described in more detail with respect to FIG. 3 below. The state machine controls which states (also referred to as modes or roles in this document) that the navigation component is in, and transitions between those states. The navigation component is configured to instruct the local display controller 218 to display one or more of the content views at a local display associated with the communications device 216. The navigation component generates instructions according to user input received at the communications device and according to current state of state machine 208 of the navigation component. The navigation component communicates with the presenter host device 200 in order to obtain content views 210 from the presenter host device, obtain navigation data from the presenter host device 200 and to communicate control messages with the presenter host device regarding states of the navigation component. This is described in more detail with reference to FIG. 4. An individual communications device 216 comprises a communications interface to enable the communications device 216 to communicate at least with the presenter host device 200 via communications network 212. For example, the communications network 212 is one or more wired or wireless communications networks such as a local area wireless network, a packet-based network, a public switched telephone network and others. For example, the communications interface is a network card, a wireless communications transceiver, or other communications interface. In some examples, an individual communications device 216 has a local display controller 218 which is a graphics card or other controller to output the content views 210, or information about the content views, to a display local to the communications device 216. The local display is a multi-touch sensitive screen of the communications device 216 in some examples. The local display is a virtual or augmented reality display generated by a headset in some examples. The local display is a display of a wrist worn computing device or other body worn computing device in some examples. The local display is any display controlled by the local display controller 218. In cases where the local display is too small to show the content views, data about the content views such as a slide number, is shown. In some examples the individual communications device 216 has no local display controller 218. For example, the individual communications device is a device with no screen which allows back and forward control of the main display during an interaction mode (described in more detail later in this document). An individual communications device 216 has a local cache 220 which is a memory able to store one or more content views. An individual communications device has a user interface configured to receive user input for navigating the content views and to select modes and roles in some examples. An individual communications device has other components as described with reference to FIG. 5 such as one or more processors, an operating system, application software, an input/output controller. Alternatively, or in addition, the functionality of the presenter host device 200 and the communications devices 216 described herein is performed, at least in part, by one or more hardware logic components. For example, and without limitation, illustrative types of hardware logic components that are optionally used include Field-programmable Gate Arrays (FPGAs), Application-specific Integrated Circuits (ASICs), Application-specific Standard Products (AS SPs), System-on-a-chip systems (SOCs), Complex Programmable Logic Devices (CPLDs), Graphics Processing Units (GPUs) FIG. 3 is a schematic diagram of a state machine 208 of a navigation component 206. At start-up 300 of a communications device 216 or a presenter host device 200, the state machine 208 begins in start-up state 300 which is an end point of the state machine and so indicated with a double circle. In this state the device waits to receive user input selecting either a presenter role an audience role. If user input is received selecting a presenter role, and optionally if a verification step succeeds, the state machine moves to the presentation mode 304. In the presentation mode 304 the device waits to receive user input specifying how to navigate the content views 210 or whether to move to an interactive mode 306. If it receives user input specifying how to navigate the content views it sends a message to the presenter host device 200 to advance/reverse the content views as described in more detail with reference to FIG. 3. The navigation is linear or non-linear where linear navigation is advancing or reversing the content views one by one according to an order of the content views, and non-linear navigation includes jumping to content views without advancing or reversing one by one. In some examples, in the presentation mode 304, a user is able to manipulate a pointer at a graphical user interface of the communications device to control a corresponding pointer on the shared display. In a presentation mode 304 a user is able to view notes associated with the content views at a local display of the communications device. During presentation mode 304 if the device receives user input specifying to move to a presenter interactive mode 302 it moves to the presenter interactive mode 302 and a message is sent to the audience communication devices 216 as described in more detail with reference to FIG. 3. Once in the presenter interactive mode 302 the state is able to transition back to the presentation mode 304 if user input is received at the device indicating to do so. During presenter interactive mode 302, if user input (from a presenter) is received at the device specifying to navigate the content views, then the content views at a shared display controlled by the presenter host device 200 are updated accordingly (in a similar manner as during presentation mode 304). However, during presenter interactive mode 302 a presenter may not have exclusive control of the shared display as described in more detail below. At the start up state 300, if user input is received selecting an audience role, and optionally if a verification step succeeds, the state transitions to a follow mode 310. In the follow mode 310 the device receives navigation data from the presenter host device, where the navigation data is details about how the content views are being navigated at a shared display controlled by the presenter host device. In the follow mode 310 the device uses the navigation data it receives to update the content views at a local display, local to the device, so that the local display device content views “follow” those of the shared display. During the follow mode 310 the device is unable to control the navigation at the shared display. If user input is received during the follow mode 310, specifying a review mode 308, the device moves to the review mode 308. In the review mode 308 the device waits to receive user input and displays the content views at a local display associated with the device according to the user input and independently of the navigation data from the presenter host device about how the presenter is navigating the shared content views. In some examples, users are able to add/delete/edit bookmarks of content views and/or browse bookmarks when in review mode. During the review mode a cache of the content views at the device may be used to update a local display. During the review mode, if user input is received specifying the follow mode, the device transitions back to the follow mode 310. During the review mode 308 the device is unable to control the navigation at the shared display. From review mode 308 it is possible to transition to an audience interactive mode 306 if conditions apply. During the audience interactive mode 306 user input received at the device (an audience device as the device has the audience role selected) is used to control navigation of the content views at the shared display. For example, by sending messages from the audience device to the presenter host device. More than one device may be in audience interactive mode 306 at the same time and in that case, the presenter host device updates the content views at the shared display on a first come first served basis. A local display at an audience device is updated so as to follow the shared display. More detail about the conditions which apply in order to transition from review mode 308 to audience interactive mode 306 are now given. Suppose that at least one other device is in presenter interactive mode 302, where that other device is a member of the ongoing presentation. The conditions include that the audience device has received a message indicating that presenter interactive mode 302 is active at another device in the ongoing presentation. In some examples, the conditions include that user input is received at the audience device selecting the audience interactive mode 306. Once in audience interactive mode 306 the state transitions back to either follow mode 310 or review mode in particular situations. For example, if there is no longer any other device (which is part of the ongoing presentation) that is in presenter interactive mode 302, then the device transitions back to review mode 308 automatically in some examples. In other examples it transitions back to follow mode 310 automatically in the case there is no longer any other device in presenter interactive mode 302. If user input is received at the audience device requesting transition to review mode 308 or to follow mode 310 then the state transitions accordingly. It is also possible to transition directly from follow mode 310 to audience interactive mode 306 if conditions apply. For example, if the audience device has received a message indicating that presenter interactive mode 302 is active at another device in the ongoing presentation. In some examples, the conditions include that user input is received at the audience device selecting the audience interactive mode 306. As mentioned above, more than one device may be in audience interactive mode 306 at the same time and in that case, the presenter host device updates the content views at the shared display on a first come first served basis with respect to navigation update request messages received from audience devices and presenter devices. It has been found that this type of control, using first come first served updates, works well in practice. As mentioned above, communications devices are able to transition between modes designed for presenters and modes designed for audience members in a dynamic manner throughout a presentation. The table below gives an example of functionality available during the modes of FIG. 3. Presenter Interactive mode Presentation mode 304 302 Presenter Presenter controls public display. Presenter allows role audience devices selected to choose between review mode and audience interactive mode . . . Audience Interactive mode Follow mode 310 Review mode 308 306 Audience Presenter controls Audience private If an audience role public display and review of the device enters this selected audience private content views at mode after a display follows the local display, presenter device presenter control of independent of has allowed it, the public display. the presenter audience device device(s), controls the public display. In addition to the functionality mentioned above, a communications device which is in presenter role transitions simply and quickly to audience role (or vice versa) as a result of user input requesting the transition being received at the communications device. No other action is required in order to transition between the presenter role and audience role and in this way transitions between presenters and audience members occurs during presentations in an efficient manner. FIG. 4 is a message sequence chart depicting an example message sequence between a presenter host device 200, an individual presenter device 224 (which communicates with the presenter host device) and an audience device 222. The vertical lines in FIG. 4 represent the individual presenter device 224, the presenter host device 200 and the audience device 222. In this example there is one audience device 222 although in practice there are more. The horizontal arrows represent messages sent between the devices in the directions indicated by the arrows. The relative vertical order of the horizontal arrows represents relative chronological order of the messages sent between the devices. The rectangular text boxes indicate method operations which occur at the devices. The presenter host device discovers the audience device 222, optionally authenticates the audience device 222 and establishes a connection with the audience device 222 such as a transport control protocol (TCP) connection or any other wired or wireless connection. For example, the presenter host device discovers the audience device 222 by receiving a message from the audience device 222, by using an online service, by using near field communications, by using audio matching, by using quick response codes, by using wireless communication such as Bluetooth (trade mark), or in other ways. The presenter host device authenticates the audience device 222 by checking if the audience device 222 is a type of device suitable for an audience device 222 rather than another device emulating an audience device. For example, by using a quick response code, bar code, near field communications tag, audio tag, login process at an online service, personal identification number, or other authentication process. In some examples, the individual presenter device 224 becomes paired to the presenter host device using messages 402 such as through a personal identification number matched via a quick response code, or matched by a near field communications tag or matched by an audio match or in other ways. However, it is not essential for the individual presenter device 224 to be paired with the presenter host device. The presenter host device sends one or more content views to the audience device 222 using messages 406 and to the individual presenter device using messages 404. The content views are cached 408 at the audience device 222 and the individual presenter device. In some examples, the content views are added to/edited/deleted using a content presentation component at the presenter host device. In this case the content views at the caches 408 are updated dynamically during the operation of the system to give a live update. The presenter host device 200 enters a presentation mode (which is the presentation mode 304 of FIG. 3) 414 as a result of user input received at the presenter host device and/or the individual presenter device 224. The presenter host device outputs the content views to a shared display such as the lecture room display of FIG. 1A or the online lecture displays of FIG. 1B. The individual presenter device also enters the presentation mode 416 (which is the presentation mode 304 of FIG. 3). User input at the individual presenter device is communicated to the presenter host device 200 as indicated by the arrow from box 416 to box 414 and thus controls navigation of the content views at the shared display. The audience device enters a follow mode 410 which is the follow mode 310 of FIG. 3. The presenter host device 200 sends navigation data in message 412 to the audience device 222 and in message 418 to the individual presenter device. The navigation data is data specifying how the content views are navigated on the shared display by the presenter host device 200. The navigation data is used at the individual presenter device 224 to update a local display associated with the individual presenter device so that the local display content corresponds to (i.e. follows) the public view 420, that is, the content view at the shared display such as the online lecture room display or physical lecture room display. The navigation data is used at the audience device in the same way so that content views at a display local to the audience device correspond with (follow) the public view. In some examples, the audience device 222 displays a private view at its associated local display. For example, to display content views, during review mode 308 of FIG. 3, from the cache of the audience device in an order which is independent of the order at the public display. Review mode 308 is not illustrated in FIG. 4 for clarity. If user input is received at the individual presenter device 224 indicating a presenter interactive mode (302 of FIG. 3), the individual presenter device enters the presenter interactive mode 424 and sends a message to the presenter host device 200 which triggers the presenter host device 200 to enter the presenter interactive mode 426. The presenter host device 200 sends a message 428 to the audience device 222 indicating that the presenter interactive mode is active. In some examples the presenter host device 200 sends the message 428 to a plurality of the audience devices 222 such as all the audience devices 222 it has discovered, authenticated and connected with, or audience devices which are part of the ongoing presentation controlled by the presenter host device. The audience device 222 enters the audience interactive mode 430 (which is the audience interactive mode 306 of FIG. 3) either automatically as a result of receiving message 428 or after receiving user input in addition to message 428. The audience device 222 optionally sends message 432 to the presenter host device indicating that it has entered audience interactive mode. This message 432 may be omitted in the case that the audience device enters the audience interactive mode automatically as a result of receiving message 428. User input is received at audience device 222 specifying how to navigate the public view of the content views. Thus the audience device 222 receives a request 434 to update the public view. The audience device sends a message 436 to the presenter host device 200 to update the public view according to the user input. The presenter host device receives message 436, checks that it is in the presenter interactive mode 302, and if so, updates the public view accordingly. As a result the navigation data is updated and sent to the audience device in message 438 and to the individual presenter device 224 as indicated. If the presenter host device receives message 436 when it is not in presenter interactive mode 302, it ignores message 436. If user input is received at the individual presenter device 224 requesting a return to the presentation mode (304 of FIG. 3) a message is sent to the presenter host device. The presenter host device 200 returns to the presentation mode 442 and sends a message to the audience device 444 and to the individual presenter device indicating the change of mode. If the presenter host device 200 receives navigation request messages from one or more audience devices during the presentation mode 304, it ignores the navigation request messages. In some examples, an audience device is prevented from sending navigation request messages unless it is in the audience interactive mode 306. In the example of FIG. 4 an individual presenter device 224 is used by the presenter to communicate with the presenter host device 200 in order to control the system. However, it is not essential to use an individual presenter device 224. For example, a presenter is able to operate the presenter host device 200 directly as in the example of FIG. 1A. In this case the presenter host device 200 is used to switch between states/modes. It is possible to modify the process of FIG. 4 to include the review mode according to the information given in FIG. 3. FIG. 5 illustrates various components of an exemplary computing-based device 500 which are implemented as any form of a computing and/or electronic device, and in which embodiments of a presenter host device (200 of FIG. 2) or a communications device (216 of FIG. 2) are implemented in some examples. Computing-based device 500 comprises one or more processors 502 which are microprocessors, controllers or any other suitable type of processors for processing computer executable instructions to control the operation of the device in order to carry out the method of FIG. 4. In some examples, for example where a system on a chip architecture is used, the processors 502 include one or more fixed function blocks (also referred to as accelerators) which implement a part of the method of FIG. 4 in hardware (rather than software or firmware). Platform software comprising an operating system 504 or any other suitable platform software is provided at the computing-based device to enable application software 506 to be executed on the device. A content view store 510 holds one or more content views and optionally navigation data. A content presentation component 202 and an interaction module 204 are present in the case that the computing device is a presenter host device as described with reference to FIG. 2. A navigation component 206 comprising a state machine 208 is present where the computing-based device 500 is either a presenter host device or a communications device such as an audience device. The computer executable instructions are provided using any computer-readable media that is accessible by computing based device 500. Computer-readable media includes, for example, computer storage media such as memory 516 and communications media. Computer storage media, such as memory 516, includes volatile and non-volatile, removable and non-removable media implemented in any method or technology for storage of information such as computer readable instructions, data structures, program modules or the like. Computer storage media includes, but is not limited to, random access memory (RAM), read only memory (ROM), erasable programmable read only memory (EPROM), electronic erasable programmable read only memory (EEPROM), flash memory or other memory technology, compact disc read only memory (CD-ROM), digital versatile disks (DVD) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other non-transmission medium that is used to store information for access by a computing device. In contrast, communication media embody computer readable instructions, data structures, program modules, or the like in a modulated data signal, such as a carrier wave, or other transport mechanism. As defined herein, computer storage media does not include communication media. Therefore, a computer storage medium should not be interpreted to be a propagating signal per se. Although the computer storage media (memory 516) is shown within the computing-based device 500 it will be appreciated that the storage is, in some examples, distributed or located remotely and accessed via a network or other communication link (e.g. using communication interface 518). The computing-based device 500 also comprises an input/output controller 520 arranged to output display information to a display device 522 which may be separate from or integral to the computing-based device 500. The display information may provide a graphical user interface. The input/output controller 520 is also arranged to receive and process input from one or more devices, such as a user input device 524 (e.g. a mouse, keyboard, camera, microphone or other sensor). In some examples the user input device 524 detects voice input, user gestures or other user actions and provides a natural user interface (NUI). This user input may be used to change between interaction and review modes, to navigate content views, to privately review content views, to add and remove bookmarks and for other purposes. In an embodiment the display device 522 also acts as the user input device 524 if it is a touch sensitive display device. The input/output controller 520 outputs data to devices other than the display device in some examples, e.g. a locally connected printing device. Any of the input/output controller 520, display device 522 and the user input device 524 may comprise NUI technology which enables a user to interact with the computing-based device in a natural manner, free from artificial constraints imposed by input devices such as mice, keyboards, remote controls and the like. Examples of NUI technology that are provided in some examples include but are not limited to those relying on voice and/or speech recognition, touch and/or stylus recognition (touch sensitive displays), gesture recognition both on screen and adjacent to the screen, air gestures, head and eye tracking, voice and speech, vision, touch, gestures, and machine intelligence. Other examples of NUI technology that are used in some examples include intention and goal understanding systems, motion gesture detection systems using depth cameras (such as stereoscopic camera systems, infrared camera systems, red green blue (rgb) camera systems and combinations of these), motion gesture detection using accelerometers/gyroscopes, facial recognition, three dimensional (3D) displays, head, eye and gaze tracking, immersive augmented reality and virtual reality systems and technologies for sensing brain activity using electric field sensing electrodes (electro encephalogram (EEG) and related methods). Alternatively or in addition to the other examples described herein, examples include any combination of the following: A computing device comprising: a navigation component configured to receive navigation data from a presenter host device, the navigation data being about navigation of a plurality of content views as part of a presentation of the content views being controlled by the presenter host device; the navigation component being configured to enter an audience interactive mode when it receives data from the presenter host device indicating availability of the audience interactive mode; and wherein the navigation component, is configured when in the audience interactive mode to send instructions to the presenter host device to control the navigation of the plurality of content views on the basis of user input received at the computing device. The computing device described above wherein the navigation component is configured, when not in the audience interactive mode, to be unable to send instructions to the presenter host device to control the navigation of the plurality of content views. The computing device described above wherein the navigation component is configured to exit the audience interactive mode when it receives instructions from the presenter host device to do so. The computing device described above wherein the navigation component is configured to enter the audience interactive mode when it receives both the data from the presenter host device indicating availability of the audience interactive mode and user input accepting the audience interactive mode. The computing device described above wherein the navigation component comprises a state machine comprising a follow mode and the audience interactive mode, and wherein the computing device comprises a local display controller configured to, during the follow mode, display the content views at a local display according to the presentation of the content views controlled by the presenter host device unless instructed otherwise by user input. The computing device described above wherein the navigation component comprises a state machine with a review mode and wherein the computing device comprises a local display controller configured to, during the review mode, display the content views independently of the presenter host device. The computing device described above comprising a local cache storing the content views and wherein the local display controller is configured to, during the review mode, display the content views from the local cache according to user input received at the device. The computing device described above where the navigation component comprises a presenter role and an audience role, and where the navigation component is configured to transition between the presenter role and the audience role according to user input during the presentation of the content views. A computing device comprising: a content presentation component configured to control presentation of a plurality of content views to at least one audience device connected to the computing device using a wired or wireless communication link; and a navigation component configured to send navigation data to the at least one audience device, the navigation data being about navigation of the plurality of content views; the navigation component being configured to enter a presenter interactive mode according to user input, and when in the presenter interactive mode to receive instructions from the at least one audience device, and to control the navigation of the plurality of content views on the basis of the instructions from the at least one audience device. The computing device described above wherein the navigation component is configured, when not in the presenter interactive mode, to exclusively control the navigation of the plurality of content views. The computing device described above wherein the navigation component is configured, when not in the presenter interactive mode, to ignore navigation messages received from the at least one audience device. The computing device described above wherein the navigation component is configured, when in the presenter interactive mode, to send a message to the at least one audience device, or to an individual presenter device in communication with the computing device, informing that an audience interactive mode is available. The computing device described above wherein the navigation component is configured, when in the presenter interactive mode, to send a message to the at least one audience device, or to an individual presenter device in communication with the computing device, instructing exit of an audience interactive mode. The computing device described above wherein the content presentation component comprises an interaction module which is an interface between the navigation component and the content presentation component. A computer-implemented method comprising: at a navigation component receiving navigation data from a presenter host device, the navigation data being about navigation of a plurality of content views as part of a presentation of the content views being controlled by the presenter host device; entering an audience interactive mode when data is received from the presenter host device indicating availability of the audience interactive mode; and when in the audience interactive mode, sending instructions to the presenter host device to control the navigation of the plurality of content views on the basis of user input received at the computing device. The method described above comprising when not in the audience interactive mode, preventing instructions from being sent to the presenter host device to control the navigation of the plurality of content views. The method described above comprising exiting the audience interactive mode when instructions are received from the presenter host device to do so. The method described above comprising entering the audience interactive mode on receipt of both, the data from the presenter host device indicating availability of the audience interactive mode, and user input accepting the audience interactive mode. The method described above comprising during a review mode, displaying the content views independently of the presenter host device unless instructions are received from the presenter host device. The method described above comprising entering an audience role prior to entering the audience interactive mode, and transitioning between the audience role and a presenter role according to user input during the presentation of the content views. A computing device comprising: means for receiving navigation data from a presenter host device, the navigation data being about navigation of a plurality of content views as part of a presentation of the content views being controlled by the presenter host device; means for entering an audience interactive mode when data is received from the presenter host device indicating availability of the audience interactive mode; and means for ,when in the audience interactive mode, sending instructions to the presenter host device to control the navigation of the plurality of content views on the basis of user input received at the computing device. The examples illustrated and described herein as well as examples not specifically described herein but within the scope of aspects of the disclosure constitute exemplary means for enabling audience devices to control a presentation of content views, and disabling this capability. For example, the elements illustrated in FIG. 2, such as when encoded to perform the operations illustrated in FIG. 4, constitute exemplary means for receiving navigation data from a presenter host device, exemplary means for entering an interaction mode, and exemplary means for sending instructions. The term ‘computer’ or ‘computing-based device’ is used herein to refer to any device with processing capability such that it executes instructions. Those skilled in the art will realize that such processing capabilities are incorporated into many different devices and therefore the terms ‘computer’ and ‘computing-based device’ each include personal computers (PCs), servers, mobile telephones (including smart phones), tablet computers, set-top boxes, media players, games consoles, personal digital assistants, wearable computers, and many other devices. The methods described herein are performed, in some examples, by software in machine readable form on a tangible storage medium e.g. in the form of a computer program comprising computer program code means adapted to perform all the operations of one or more of the methods described herein when the program is run on a computer and where the computer program may be embodied on a computer readable medium. Examples of tangible storage media include computer storage devices comprising computer-readable media such as disks, thumb drives, memory etc. and do not include propagated signals. The software is suitable for execution on a parallel processor or a serial processor such that the method operations may be carried out in any suitable order, or simultaneously. This acknowledges that software is a valuable, separately tradable commodity. It is intended to encompass software, which runs on or controls “dumb” or standard hardware, to carry out the desired functions. It is also intended to encompass software which “describes” or defines the configuration of hardware, such as HDL (hardware description language) software, as is used for designing silicon chips, or for configuring universal programmable chips, to carry out desired functions. Those skilled in the art will realize that storage devices utilized to store program instructions are optionally distributed across a network. For example, a remote computer is able to store an example of the process described as software. A local or terminal computer is able to access the remote computer and download a part or all of the software to run the program. Alternatively, the local computer may download pieces of the software as needed, or execute some software instructions at the local terminal and some at the remote computer (or computer network). Those skilled in the art will also realize that by utilizing conventional techniques known to those skilled in the art that all, or a portion of the software instructions may be carried out by a dedicated circuit, such as a digital signal processor (DSP), programmable logic array, or the like. Any range or device value given herein may be extended or altered without losing the effect sought, as will be apparent to the skilled person. Although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as example forms of implementing the claims. It will be understood that the benefits and advantages described above may relate to one embodiment or may relate to several embodiments. The embodiments are not limited to those that solve any or all of the stated problems or those that have any or all of the stated benefits and advantages. It will further be understood that reference to ‘an’ item refers to one or more of those items. The operations of the methods described herein may be carried out in any suitable order, or simultaneously where appropriate. Additionally, individual blocks may be deleted from any of the methods without departing from the scope of the subject matter described herein. Aspects of any of the examples described above may be combined with aspects of any of the other examples described to form further examples without losing the effect sought. The term ‘comprising’ is used herein to mean including the method blocks or elements identified, but that such blocks or elements do not comprise an exclusive list and a method or apparatus may contain additional blocks or elements. It will be understood that the above description is given by way of example only and that various modifications may be made by those skilled in the art. The above specification, examples and data provide a complete description of the structure and use of exemplary embodiments. Although various embodiments have been described above with a certain degree of particularity, or with reference to one or more individual embodiments, those skilled in the art could make numerous alterations to the disclosed embodiments without departing from the spirit or scope of this specification.",G06F30484,G06F30484,20160107,,20170713,58286.0 13,14997927,PENDING,System for Collaborative Data Analytics for Time Series Data,"A collaborative water analytics is provided. The analytics system may provide information for feeds of time series data associated with water and sewer systems. The data may include sewer flow, rainfall, and other water-related data for municipal systems and other water providers. The time series data may include any measurement, such as for example counts, flow rate, pressure, depth, and so on, sampled from a sensor over a period of time.","1. A method for analyzing data for time-series data retrieved from a water sensor system, comprising: retrieving by a remote server time series data collected from a water system; processing the time series data to be displayed within a content page by a network browser application provided by a client machine remote from the server; providing a subset of the processed time series data in multiple graphical representations within the content page; and modifying the scale of one or more of the multiple graphical representations in response to user input. 2. The method of claim 1, further comprising storing the time series data by the remote server in HDF5 format. 3. The method of claim 1, wherein processing the time series data to be displayed includes applying an iterative and point fit algorithm to the time series data. 4. The method of claim 1, wherein the multiple graphical representations include multiple plots of data. 5. The method of claim 1, further comprising: providing an axis indicator for each of a plurality of vertical axes in the graphical representation; and receiving an input to adjust a length of one of the plurliaty of axis indicators; and adjusting the scale of an axis that corresponds to the axis indicator with the adjusted length. 6. The method of claim 5, wherein the axis scale is adjusted in proportion to the adjusted length of the axis indicator. 7. The method of claim 1, further comprising providing an annotation for a set of multiple time series data values. 8. The method of claim 1, wherein the annotation is associated with a set of multiple time series data values that are selected by a user. 9. The method of claim 1, further comprising automatically and dynamically generating metrics for a selected feed of time series data. 10. A non-transitory computer readable storage medium having embodied thereon a program, the program being executable by a processor to perform a method for analyzing data for time-series data retrieved from a water sensor system, the method comprising: retrieving by a remote server time series data collected from a water system; processing the time series data to be displayed within a content page by a network browser application provided by a client machine remote from the server; providing a subset of the processed time series data in multiple graphical representations within the content page; and modifying the scale of one or more of the multiple graphical representations in response to user input. 11. The non-transitory computer readable storage medium of claim 10, further comprising storing the time series data by the remote server in HDF5 format. 12. The non-transitory computer readable storage medium of claim 10, wherein processing the time series data to be displayed includes applying an iterative and point fit algorithm to the time series data. 13. The non-transitory computer readable storage medium of claim 10, wherein the multiple graphical representations include multiple plots of data. 14. The non-transitory computer readable storage medium of claim 10, further comprising: providing an axis indicator for each of a plurality of vertical axes in the graphical representation; and receiving an input to adjust a length of one of the plurliaty of axis indicators; and adjusting the scale of an axis that corresponds to the axis indicator with the adjusted length. 15. The non-transitory computer readable storage medium of claim 14, wherein the axis scale is adjusted in proportion to the adjusted length of the axis indicator. 16. The non-transitory computer readable storage medium of claim 10, further comprising providing an annotation for a set of multiple time series data values. 17. The non-transitory computer readable storage medium of claim 10, wherein the annotation is associated with a set of multiple time series data values that are selected by a user. 18. The non-transitory computer readable storage medium of claim 10, further comprising automatically and dynamically generating metrics for a selected feed of time series data. 19. A system for analyzing data for time-series data retrieved from a water sensor system, comprising: a server including a memory and a processor; and one or more modules stored in the memory and executed by the processor to retrieve by a remote server time series data collected from a water system, process the time series data to be displayed within a content page by a network browser application provided by a client machine remote from the server, provide a subset of the processed time series data in multiple graphical representations within the content page, and modify the scale of one or more of the multiple graphical representations in response to user input. 20. The system of claim 19, the one or more modules further executable to store the time series data by the remote server in HDF5 format. 21. The system of claim 19, wherein processing the time series data to be displayed includes applying an iterative and point fit algorithm to the time series data. 22. The system of claim 19, wherein the multiple graphical representations include multiple plots of data. 23. The system of claim 19, the one or more modules further executable to provide an axis indicator for each of a plurality of vertical axes in the graphical representation, receive an input to adjust a length of one of the plurality of axis indicators, and adjust the scale of an axis that corresponds to the axis indicator with the adjusted length. 24. The system of claim 23, wherein the axis scale is adjusted in proportion to the adjusted length of the axis indicator. 25. The system of claim 19, the one or more modules further executable to provide an annotation for a set of multiple time series data values. 26. The system of claim 19, wherein the annotation is associated with a set of multiple time series data values that are selected by a user. 27. The system of claim 19, the one or more modules further executable to automatically and dynamically generate metrics for a selected feed of time series data."," BACKGROUND Water has been a vital resource to civilizations for hundreds if not thousands of years. With urban areas and rural lands competing for water usage, especially in times of drought, detailed monitoring of water usage and water systems such as sewer systems is an important part of managing water supply. Sewer flow data and rainfall data have been analyzed for many years. In some cases, there is years of data available to analyze. In addition to this existing data, some systems continually collect additional data to which can be analyzed with respect to the previous data. What is needed is an improved system for collaborating and analyzing time series data."," SUMMARY The system of the present technology, roughly described, provides collaborative water analytics. The analytics system may provide information for feeds of time series data associated with water and sewer systems. The data may include sewer flow, rainfall, and other water-related data for municipal systems and other water providers. The time series data may include any measurement, such as for example counts, flow rate, pressure, depth, and so on, sampled from a sensor over a period of time. Once the data is accessed, the data may be manipulated and provided in a number of ways along with several features. The data may be provided graphically and may be analyzed, annotated, and otherwise processed. One or more data feeds of data may be displayed or hidden, inspected, filtered, edited, annotated, and shared. Metrics may be generated for specific periods and one or more selected data feeds, and may be shared and automatically generated for display to a user. The present system may identify and report patterns for rainfall, sewer flow, and other water activity, and provide the reports through tables, graphics, plots and other formats. An embodiment includes a method for analyzing data for time-series data retrieved from a water sensor system. A remote server may retrieve time series data collected from a water system. The time series data to be displayed within a content page by a network browser application may be processed. The network browser application may be provided by a client machine remote from the server. A subset of the processed time series data may be provided in multiple graphical representations within the content page. The scale of one or more of the multiple graphical representations may be modified in response to user input. An embodiment includes a system for analyzing data for time-series data retrieved from a water sensor system. The system may include a server having a memory and a processor. One or more modules may be stored in the memory and executed by the processor to retrieve by a remote server time series data collected from a water system, process the time series data to be displayed within a content page by a network browser application provided by a client machine remote from the server, provide a subset of the processed time series data in multiple graphical representations within the content page, and modify the scale of one or more of the multiple graphical representations in response to user input.","CROSS-REFERENCE TO RELATED APPLICATIONS This application claims the priority benefit of U.S. provisional application 61/105,103, titled “Cloud Based Collaborative Data Analytics for Time Series Data,” filed Jan. 19, 2015, the disclosure of which is incorporated herein by reference. BACKGROUND Water has been a vital resource to civilizations for hundreds if not thousands of years. With urban areas and rural lands competing for water usage, especially in times of drought, detailed monitoring of water usage and water systems such as sewer systems is an important part of managing water supply. Sewer flow data and rainfall data have been analyzed for many years. In some cases, there is years of data available to analyze. In addition to this existing data, some systems continually collect additional data to which can be analyzed with respect to the previous data. What is needed is an improved system for collaborating and analyzing time series data. SUMMARY The system of the present technology, roughly described, provides collaborative water analytics. The analytics system may provide information for feeds of time series data associated with water and sewer systems. The data may include sewer flow, rainfall, and other water-related data for municipal systems and other water providers. The time series data may include any measurement, such as for example counts, flow rate, pressure, depth, and so on, sampled from a sensor over a period of time. Once the data is accessed, the data may be manipulated and provided in a number of ways along with several features. The data may be provided graphically and may be analyzed, annotated, and otherwise processed. One or more data feeds of data may be displayed or hidden, inspected, filtered, edited, annotated, and shared. Metrics may be generated for specific periods and one or more selected data feeds, and may be shared and automatically generated for display to a user. The present system may identify and report patterns for rainfall, sewer flow, and other water activity, and provide the reports through tables, graphics, plots and other formats. An embodiment includes a method for analyzing data for time-series data retrieved from a water sensor system. A remote server may retrieve time series data collected from a water system. The time series data to be displayed within a content page by a network browser application may be processed. The network browser application may be provided by a client machine remote from the server. A subset of the processed time series data may be provided in multiple graphical representations within the content page. The scale of one or more of the multiple graphical representations may be modified in response to user input. An embodiment includes a system for analyzing data for time-series data retrieved from a water sensor system. The system may include a server having a memory and a processor. One or more modules may be stored in the memory and executed by the processor to retrieve by a remote server time series data collected from a water system, process the time series data to be displayed within a content page by a network browser application provided by a client machine remote from the server, provide a subset of the processed time series data in multiple graphical representations within the content page, and modify the scale of one or more of the multiple graphical representations in response to user input. BRIEF DESCRIPTION OF FIGURES FIG. 1 is a block diagram for a collaborative water analytics system. FIG. 2 is a block diagram of an application server. FIG. 3 is a method for providing collaborative water analytics. FIG. 4 is a method for reporting data. FIG. 5 is a method for displaying data through a content page. FIG. 6 is a method for annotating data. FIG. 7 is a snapshot of a content page interface for providing metrics. FIG. 8 is a snapshot of a content page interface for providing annotations. FIG. 9 is a snapshot of a content page interface for configuring vertical axes. FIG. 10 is a snapshot of another content page interface for configuring vertical axes. FIG. 11 is a block diagram of a computing environment for implementing the present technology. DETAILED DESCRIPTION The system of the present technology, roughly described, provides collaborative water analytics. The analytics system may provide information for feeds of time series data associated with water and sewer systems. The data may include sewer flow, rainfall, and other water-related data for municipal systems and other water providers. The time series data may include any measurement, such as for example counts, flow rate, pressure, depth, and so on, sampled from a sensor over a period of time. Once the data is accessed, the data may be manipulated and provided in a number of ways along with several features. The data may be provided graphically and may be analyzed, annotated, and otherwise processed. One or more data feeds of data may be displayed or hidden, inspected, filtered, edited, annotated, and shared. Metrics may be generated for specific periods and one or more selected data feeds, and may be shared and automatically generated for display to a user. The present system may identify and report patterns for rainfall, sewer flow, and other water activity, and provide the reports through tables, graphics, plots and other formats. FIG. 1 is a block diagram of a system for providing collaborative water analytics. The system of FIG. 1 includes sewer District 100, customer machine 120, network 130, server 140, network 150, and client machine 160. Sewer district 100 may include one or more sewer devices 112, 114, 116, 118 and 119 arranged with flows of sewer and water between them. Each sewer device (“device”) may include a pump station to pump water, a sewage pit to collect sewage, a gauge for collecting rain, or some other device for measuring water or sewage flow. In some instances, each device, whether associated with water flow or sewage, may include one or more sensors to detect an aspect of the content being measured. The sensors may measure flow, volume, pressure, depth, velocity, or some other aspect of the content. Each device may also have multiple sensors which may communicate with customer machine 120. In some instances, each device may collect and transmit data to customer machine 120 periodically and/or on request. Data transmission may be through a wired line, a wireless system such as one or more antennas over a radio network, or some other means. Customer machine 120 may include one or more machines for performing multiple tasks including receiving messages, transmitting messages, processing data, and storing data. In some instances, customer machine may include one or more application servers, network and web servers, data stores, and other servers and machines for receiving, processing, storing and transmitting data from devices 112-119 and other systems. The data from each device by customer machine 120 may be received periodically, such as for example as a feed of time series data, and stored by customer machine 120. Data may be received by customer machine 120 from a device every minute, two minutes, five minutes, or at some other frequency. The data may be transferred through a supervisory control and data acquisition system (SCADA) or other suitable communications and control system. When using a SCADA system, the system may be utilized for remote monitoring and control using coded signals over communication channels between the sensors and the customer machines. In some instances, customer machine 120 may store the data in a data store or “historian” section of the customer machine such that the data can be accessed by remote servers. Server 140 may implement a collaborative water analytics system as described herein and may include one or more network servers, application servers, data stores, and other servers and/or machines. Server 140 may access time series data associated with devices 112-119 over network 130 from customer machine 120. Network 130 may include a private network, public network, wired network, cellular network, wireless network, Wi-Fi network, or some other network suitable for transmitting data between customer machine 120 and server 140. In some instances, data may be transferred from customer machine 120 to server 140. In some instances, server 140 may actively pull data from customer machine 120. The data pull requests may be performed using an SQL query that occurs periodically, initiated by user request, or based on some other event. The data retrieved from customer machine 120 may be stored at server 140 or some location accessible to server 140 in a format suitable for storing, accessing, and modifying large volumes of data. For example, data retrieved from machine 120 and stored on server 140 may be stored in a hierarchical data format such as HDF5, which consists of a data model, library, and file formats which provides for flexible and efficient input and output capability, particularly well-suited for high volume and complex data. Server 140 may retrieve and store data such that the time series data for each feed is received and added to previous data associated with the feed, and the data is dynamically reformatted and normalized. Processing the data in HDF5 format allows the data to be processed in chunks that are easily accessible, providing efficient access a processing capability for large amounts of time series data. Server 140 may process the received and stored time series data to dynamically provide intelligent information based on the time series data feed as well as the raw data itself. The information and data may be provided to a user through an interface, such as for example a content page provided in a web browser application by client machine 160. A web browser application on client machine 160 may provide a content page that provides collaborative water analytics information. Network 150 may include a private network, public network, wired network, cellular network, wireless network, Wi-Fi network, or some other network suitable for transmitting data between server 140 and client machine 160. The interface may also be provided through a client application, a mobile application, or some other program executing on a device that has a display or other output mechanism. FIG. 2 illustrates a block diagram of an application server. The application server of FIG. 2 provides more detail of an application server that may comprise at least a portion of server 140 in the system of FIG. 1. Server 210 of FIG. 2 may include data manager 220, graphics engine 230, annotation engine 240 and metrics engine 250. In some instances, one or more of the modules 220, 230, 240 and 250, as well as other functionality discussed herein, may be stored and executed on client machine 160. For example, graphics engine 230 and annotation engine 240 may be implemented in script code within a network browser application on client machine 160. Additionally, some functionality provided by modules 220-250 as well as other functionality herein may be provided in both server 140 and client 160. Data manager 220 may access and/or query data from customer machine 120, store the data, and provide data to modules 230-250 as required. Data manager 220 may generate and transmit SQL queries, upload data to a database accessible by server 210, and export data. Graphics engine 230 may construct content pages that include an interface for viewing collaborative analytics information, metrics, graphs, tools, and other content and provide the content page to a web browser application hosted on client machine 160. Annotation engine 240 may handle generating annotations for a selected period of time series data and provide the annotation information to graphics engine 230. Metrics engine 250 may automatically and continuously generate metrics for time series data and provide the metrics to graphics engine 230. In some instances, metrics engine 250 may generate metrics on demand when metric information is requested or for automatic inclusion in a content page provided by graphics engine 230. FIG. 3 is a method for providing collaborative water analytics. First, water and sewage data is collected by sewer district sensors at step 310. The water and sewage data may be collected by one or more sensors at each of devices 112-119. The water and sewage data may then be reported to customer machine 120 at step 320. The collected data may be reported to customer machine 120 by a wireless means such as radio frequency transmission devices, wired communication lines, or some other communication mechanism. Water and sewage data may be identified for processing at step 330. The identified water and sewage data will be accessed by server 140 and processed for reporting to a user via client machine 160. In some instances, an administrator associated with customer machine 120 may identify water and sewage data to be identified for processing. The identified data may include all collected data or a subset of all data, such as for example a subset of the data feeds or sensors used in sewer district 110. In some instances, logic or one or more business rules or algorithms may identify water data and sewer data to be analyzed, such as data associated with an anomaly, data associated particular periods of time, or other data. The identified water and sewage data is retrieved from customer machine 120 by server 140 at step 340. The data may be retrieved through the one or more SQL queries sent by server 140 to customer machine 120. Data may be retrieved from customer machine 120 by server 140 and stored at server 140 locally or at an accessible remote data store. In some instances, server 140 can mirror the identified data from machine 120 to server 140, and the mirrored data may then be queried locally from server 140. After retrieving the identified data, the water and sewage data retrieved by the server 140 may be processed at step 350. Processing the data may include storing it in a suitable format, such as in HDP5 format, processing the data to be displayed in a browser in an efficient manner, and other processing. Data may then be reported at step 360. The data may be reported graphically as a plot, table, graph, list annotation, metric, or in any other suitable format, and may be reported through a content page provided by a network browser application on client machine 160. Reporting data is discussed in more detail below with respect to the method of FIG. 4. FIG. 4 is a method for reporting data. The method of FIG. 4 provides more detail for step 360 of the method of FIG. 3. First data to view may be received at step 410. The data may include data from one or more feeds, sewer districts, municipality systems, or some other collection of time series data. The data may be retrieved from local or remote memory or data stores. The received data is then configured for viewing in a browser at step 420. Server 140 may configure the data to be viewed efficiently in a browser executing on a client machine 160. Configuring the data for efficient viewing may include manipulating the data to be displayed as accurately as possible in the limited visual range provided by a content page within a network browser application. For example, a time series may have hundreds of thousands of data points for a particular time series. A content page may only have a fraction of this number of pixels to display data. As such, the data needs to be manipulated to convey data values while minimizing data loss. The processing may include implementing a methodology for reducing the number of points in a curve or other portion of the data that is approximated by series of points. In some instances, a Douglas Peucker or similar iterative and point fit algorithm may be used to efficiently display large numbers of time series data within the granularity provided by a content page to be viewed through a web browser application. In some instances, configuration of the data for viewing may be performed at client 160. For example, script code such as JavaScript may execute in a network browser application to configure the data for viewing in a browser, including applying a version of all or part of an iterative and point fit algorithm such as the Douglas Peucker algorithm. Once the data is configured for viewing in a browser, the set of time series data may be displayed through a content page at step 430. Displaying data through the content page is discussed in more detail below with respect to the method of FIG. 5. Snapshots of interfaces for displaying data are discussed with respect to FIGS. 7-10. Alerts may be configured and provided at step 440. In some instances, an alert may be configured by a user to trigger an action based on a detected value, trend, or other logic or rule based on one or more data values in a time series data feed. For example, if the value of a particular feed of time series data exceeds a particular value, an alert may be sent to an administrator of server 140, customer machine 120, server district 100, or another party or address location with respect to the particular time feed. If, for example, a particular flow for rainfall data exceeds a maximum value, an alert may be generated to advise an administrator that a potential flood, system or sensor failures, water main breaks, or a sewer overflows situation may be forthcoming. Alerts may be generated based on a particular value, a trend, such as an increase seen over a particular period of time, or other properties for time series data. Data may be edited at step 450. In some instances, a particular time series value or series of values may be identified as being anomalous. For example, a sensor may be identified as failing to perform for a particular period of time. As such, an administrator may wish that the data associated with the sensor be discarded, ignored, or otherwise not considered. Such data may be replaced using an edit feature of the current system. The edit feature may allow a user to insert data from a substitute device, perhaps scaled in some way to fit the new set of data, or generate data to be inserted from a more rigorous predictive modeling methodology. For example, if a particular sensor was determined to be malfunctioning for a period of time, the data associated with that sensor during that time may be discarded, and a pattern which is typically seen for that period of time may be generated and inserted in place of the discarded data. In some instances, the selected time period for the time series data to be replaced may be selected, an indication that the data should be replaced or edited is received, a user may indicate a particular pattern or estimation of time series behavior to insert in place of the selected data, and the system will then automatically generate data points corresponding to the time series periodicity to insert within the selected range. Metrics for data may be provided dynamically for time series data at step 460. Metrics may be provided automatically for data currently being viewed by user or otherwise selected by a user. For example, the user interface may receive input from a user of a period of data. Upon receiving the selection, the selected period may be provided to server 140, and server 140 may determine the particular metrics. Server 140 may then update a content page through client machine 160. The updated content page may show the metrics associated with the particular time period. In some instances, metrics may automatically be generated and provided to a user for the most recent minute, 30 minutes, hour, 2 hours, 12 hours, 24 hours, week, month, or some other period of time that may be set by default or configured by a user. The automatically generated metrics may be updated dynamically as additional time series data for a particular feed for which the metrics are generated are received. A time window of time series data may be annotated at step 470. Annotations may be generated not only for a particular time value, but also a period of time values. Thus, a particular trend or other subset of data may be annotated by a particular user. In addition to annotating the period of time series values, the annotations may be posted, commented on by other users, and shared. More details for annotating a period of data is discussed with reference to FIG. 6. FIG. 5 illustrates a method for displaying data through a content page. The method of FIG. 5 provides more detail for step 430 of the method of FIG. 4. First, an overall representation of data is provided through an interface at step 510. The overall representation may be provided for an entire group of data associated with a particular feed. For example, in the interface of FIG. 8, the overall representation of data is provided in window 830 four 3 years of data, 2005-2007. A selection of rain and sewer data may be received at step 520. The selection may be received by receiving input from a content page of a subset of the overall representation of data. In the interface of FIG. 8, the receive selection is highlighted as selection 840 of the overall representation of data near the bottom of the interface. The selected data is provided through the interface at step 530. The selected data will be illustrated such that more detail for the selected data may be visible then that which is visible for the overall representation of data provided at step 510. For example, window 850 provides the selected data through interface 800 of FIG. 8 which corresponds to the selected portion 840. A vertical axis position may be adjusted in response to user input at step 540. The interfaces of FIGS. 9 and 10 illustrate vertical axes that are configured by a user. The vertical axes of FIG. 9 may be adjusted to move the axes direction either up or down within the interface. This may be achieved by selecting an axis indicator and moving the indicator in a vertical direction within the content page. By moving the vertical position of the axis, additional data may be provided in window 920 and the axes may be configured for more efficient viewing to more easily identify a pattern or relationship between multiple data feeds. A vertical axis scale may be adjusted in response to receiving user input at step 550. To adjust the vertical axis scale, the user may adjust the vertical length of an indicator 930 or 940 in the interface of FIG. 10. For example, data associated with a sewer depth is represented by plot 950 within the interface of FIG. 9. As shown in FIG. 9, the axis indicator 940 is smaller than the axis indicator 930. In the interface of FIG. 10, axis indicator 940 is extended to be nearly as long as access indicator 930 associated with plot 960. As a result, plot 950 extends vertically within window 920 to a height that extends from the top of access indicator 940 to the bottom of access indicator 940, thereby increasing the scale of the plotted data in the interface of FIG. 10. By adjusting the scale of one or more vertical axis independently, the interface of the present system allows a user to more accurately determine relationships between feeds of data that would not normally be viewable and other systems with a fixed scale. This provides a distinct advantage for determining cause-and-effect relationships between components of water systems that is not available in other monitoring and reporting systems. Data values are provided in response to user input at step 560. In some instances, user may select a portion of a particular feed through a user interface. In response to receiving the selected portion, data values for the particular portion may be provided in the interface. Further, as a user's moves an indicator horizontally or otherwise along a plot of data associate with a particular feed, the values for the particular feed are shown at the point of the cursor as it is dragged along the data feed plot. A focus view of selected data is provided in response to user input at step 570. The focus view may provide a close up of the data values for the particular feed as well as any other related feed. The focus view is provided in response to user input of a particular point in a particular feed. FIG. 6 illustrates a method for annotating data. The method of FIG. 6 provides more detail for step 470 the method of FIG. 4. First, a selection of a period of data is received at step 610. The selection may be received through an interface provided through a content page, such as for example an interface similar to one of those illustrated in FIGS. 7-10. In the interface of FIG. 8, the period of data is selected as selection 840 within window 830. A title and description may be received for the annotation at step 620. Within window 820, a user may enter a title for the annotation as well as a description for the annotation. The title and description may be entered as anything the user prefers. In some instances, a default title may be generated for example based on a name associated with the particular feed and time window, and a description may automatically be generated to provide more information regarding the type of data, time period of the data, and other information. An annotation may be posted at step 630. To post an annotation, an initial annotation, including text entered by a user, is received from the user. The annotation information is received through the content page, transmitted to server 140, and built into content pages associated with the feed that provides the subset of data values associated with the annotation. From that point forward, whenever the user or other users of view data for the particular feed, they will see an indicator that the particular period of data includes in annotation, or will see the annotation itself. Comments for the generated annotation are received at step 640. Comments may be received from the user that created the annotation or other users that view the annotation. Comments received for the annotation will be associated with the subset of time series data originally associated with the annotation. Thus, both the original annotation and subsequent comments are associated with a set of multiple data points selected by a particular user, not with just a single point or data value. This is advantageous over other systems and that it allows users to comment on a trend of the data, an anomaly in context of other data, as well as other aspects of a set of multiple data points in a time series feed of data. The annotation for the set of time series data is stored and continuously updated with user comments in a data store accessible by server 140. FIG. 7 is a snapshot of an interface for providing metrics. Interface 700 of FIG. 7 includes control buttons 710, metrics 720, access label 730, slider 740, sewer data plot 750, and rain data plot 760. The control buttons include selectable buttons provided within the interface and relate to data, annotations, and metrics. Other buttons indicate the current time and time zone for the data being displayed in the interface. In interface 700, the metrics button is currently selected. As such, metrics for the currently selected period are displayed in metrics window 720. The metrics may include a maximum and minimum flow, average flow for the period, total flow, a volume of flow, total average, and other metrics. The metrics may be provided by default, selected by user, or even created by user. As a user adjusts slider 740, metrics are generated for data corresponding to the currently selected period as defined by the slider. Thus, as slider 740 is moved to the left, the metrics will be generated for data corresponding to the boundaries of the slider as it is moved to the left. FIG. 8 is a snapshot of an interface for providing annotations. As discussed with respect to the method of FIG. 6, annotations may be associated with a particular subset or period of time series data values. Once the annotations button is selected, a period of an overall data within data window 830 is selected. In the interface of FIG. 8, the selected data is indicated by selection 840. The selected data is then shown in more detail in window 850, positioned above data window 830 in the interface of FIG. 8. Slider 860 may be moved vertically along the selected data, as well as be adjusted for width, to be wider or shorter. Once the slider represents the period of data values to annotate, a user may enter a title, description, and one or more comments as annotations to associate with the selected subset of data. The annotation may then be posted, which makes the annotation available for others to comment on as well. Posting an annotation may be done to the general public or to selected users. FIG. 11 illustrates a block diagram of a computing environment 1100 for implementing computers and machines that implement the present technology. System 1100 of FIG. 11 may be implemented in the contexts of the likes of customer machine (s) 120, server 140, and client machine 160. The computing system 1100 of FIG. 11 includes one or more processors 1110 and memory 1120. Main memory 1120 stores, in part, instructions and data for execution by processor 1110. Main memory 1120 can store the executable code when in operation. The system 1100 of FIG. 11 further includes a mass storage device 1130, portable storage medium drive(s) 1140, output devices 1150, user input devices 1160, a graphics display 1170, and peripheral devices 1180. The components shown in FIG. 11 are depicted as being connected via a single bus 1190. However, the components may be connected through one or more data transport means. For example, processor unit 1110 and main memory 1120 may be connected via a local microprocessor bus, and the mass storage device 1130, peripheral device(s) 1180, portable storage device 1140, and display system 1170 may be connected via one or more input/output (I/O) buses. Mass storage device 1130, which may be implemented with a magnetic disk drive, an optical disk drive, a flash drive, or other device, is a non-volatile storage device for storing data and instructions for use by processor unit 1110. Mass storage device 1130 can store the system software for implementing embodiments of the present invention for purposes of loading that software into main memory 1120. Portable storage device 1140 operates in conjunction with a portable non-volatile storage medium, such as a floppy disk, compact disk or Digital video disc, USB drive, memory card or stick, or other portable or removable memory, to input and output data and code to and from the computer system 1100 of FIG. 11. The system software for implementing embodiments of the present invention may be stored on such a portable medium and input to the computer system 1100 via the portable storage device 1140. Input devices 1160 provide a portion of a user interface. Input devices 1160 may include an alpha-numeric keypad, such as a keyboard, for inputting alpha-numeric and other information, a pointing device such as a mouse, a trackball, stylus, cursor direction keys, microphone, touch-screen, accelerometer, and other input devices Additionally, the system 1100 as shown in FIG. 11 includes output devices 1150. Examples of suitable output devices include speakers, printers, network interfaces, and monitors. Display system 1170 may include a liquid crystal display (LCD) or other suitable display device. Display system 1170 receives textual and graphical information, and processes the information for output to the display device. Display system 1170 may also receive input as a touch-screen. Peripherals 1180 may include any type of computer support device to add additional functionality to the computer system. For example, peripheral device(s) 1180 may include a modem or a router, printer, and other device. The system of 1100 may also include, in some implementations, antennas, radio transmitters and radio receivers 1190. The antennas and radios may be implemented in devices such as smart phones, tablets, and other devices that may communicate wirelessly. The one or more antennas may operate at one or more radio frequencies suitable to send and receive data over cellular networks, Wi-Fi networks, commercial device networks such as a Bluetooth devices, and other radio frequency networks. The devices may include one or more radio transmitters and receivers for processing signals sent and received using the antennas. The components contained in the computer system 600 of FIG. 6 are those typically found in computer systems that may be suitable for use with embodiments of the present invention and are intended to represent a broad category of such computer components that are well known in the art. Thus, the computer system 600 of FIG. 6 can be a personal computer, hand held computing device, smart phone, mobile computing device, workstation, server, minicomputer, mainframe computer, or any other computing device. The computer can also include different bus configurations, networked platforms, multi-processor platforms, etc. Various operating systems such as Unix, Linux, Windows, iOS, and Android and programming languages including, C, C++, Node.JS, and .NET can be used in one or more of the computer, servers, and/or machines discussed herein. The foregoing detailed description of the technology herein has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the technology to the precise form disclosed. Many modifications and variations are possible in light of the above teaching. The described embodiments were chosen in order to best explain the principles of the technology and its practical application to thereby enable others skilled in the art to best utilize the technology in various embodiments and with various modifications as are suited to the particular use contemplated. It is intended that the scope of the technology be defined by the claims appended hereto.",G06F1730554,G06F1730,20160118,,20160721,60644.0 14,14987832,ACCEPTED,PERCUTANEOUS VERTEBRAL STABILIZATION SYSTEM,Systems and methods for percutaneously installing a vertebral stabilization system. A first anchor is positionable within a body of a patient through a first percutaneous opening and a second anchor is positionable within a body of a patient through a second percutaneous opening. A stabilization member is positionable within the body of a patient through the first percutaneous opening to engage and connect the first and second anchors.,"1. A method for inserting a vertebral stabilization member percutaneously, comprising: attaching a first sleeve having a sleeve sidewall to a first bone anchor; attaching a second sleeve having a sleeve sidewall to a second bone anchor; coupling a rod member to an insertion device, the rod member extending from a proximal end to a distal end along a rod axis, the insertion device extending from a proximal end to a distal end along a longitudinal axis, the insertion device comprising a first member and a second member, wherein the first member is linearly translatable, the rod member is linkingly engaged to the first member at a first axial location along the rod member and rotatably engaged to the second member at a second axial location along the rod member that is axially offset from the first axial location; inserting the insertion device into the first or second sleeve; and pivoting the rod member from a first orientation substantially parallel to the longitudinal axis of the insertion device to a second orientation substantially perpendicular to the longitudinal axis of the insertion device such that the rod member is positioned between the first and second bone anchors. 2. The method of claim 1, wherein at least one of the first and second sleeves includes a pair of longitudinal slots that extend through the sleeve sidewall along diametrically opposite lateral sides of the first or second sleeve. 3. The method of claim 1, wherein at least one of the first and second sleeves includes one or more resilient tabs integral with the sleeve sidewall of at least one of the first and second sleeves. 4. The method of claim 3, wherein one or more of the resilient tabs includes a ramp portion and a lip portion. 5. The method of claim 1 further comprising, before attaching the first and second sleeves, positioning the first bone anchor in a first vertebra and positioning the second bone anchor in a second vertebra. 6. The method of claim 1, wherein the insertion device and the rod member are movable within the first or second sleeve along the longitudinal axis. 7. The method of claim 1, wherein the rod member has a curvilinear shape. 8. The method of claim 1, wherein the rod member has at least one indentation along its length, wherein the insertion device is rotatably linked to the rod member about the indentation. 9. The method of claim 8, wherein the rod member has a pair of indentations coaxially aligned on an axis that extends generally perpendicular to the rod axis and generally defines an axis about which the rod member may pivot. 10. The method of claim 8, wherein the indentations comprise semispherical concave shapes that cooperatively engage semispherical protrusions of the first member of the insertion device. 11. The method of claim 10, wherein the first member comprises forked arm portions that may be resiliently expanded to allow the protrusions to snap into the indentations. 12. The method of claim 8, wherein indentations are semi-circular, rectangular, or triangular in shape. 13. The method of claim 1 further comprising inserting the insertion device percutaneously into a patient. 14. The method of claim 13, wherein the insertion device is operable to place the rod member through a small opening in the skin of a patient in the first orientation. 15. A method for inserting a vertebral stabilization member percutaneously, comprising: inserting a first bone anchor into a first vertebral body with a first sleeve connected to the first anchor; inserting a second bone anchor into a second vertebral body with a second sleeve connected to the second anchor; coupling a rod member to an insertion device, the rod member extending from a proximal end to a distal end along a rod axis and having at least one indentation along its length, the insertion device extending from a proximal end to a distal end along a longitudinal axis, the insertion device comprising a first member and a second member, wherein the first member is linearly translatable, the rod member is linkingly engaged to the first member at a first axial location along the rod member and rotatably linked to the second member about the indentation at a second axial location along the rod member that is axially offset from the first axial location; inserting the insertion device into the first or second sleeve; and pivoting the rod member from a first orientation substantially parallel to the longitudinal axis of the insertion device to a second orientation substantially perpendicular to the longitudinal axis of the insertion device such that the rod member is positioned between the first and second bone anchors. 16. The method of claim 15, wherein the indentation has a spherical shape and wherein the second member has a prong which engages the indentation. 17. The method of claim 15, wherein the rod member has a forked end, and wherein the insertion device is rotatably linked to the rod member about the forked end. 18. A method of percutaneously installing a vertebral stabilization system comprising the steps of: creating first and second openings in a body of a patient; positioning a first anchor into a first vertebral body through the first opening with a first access sleeve connected to the first anchor, the first access sleeve having a sleeve sidewall with a longitudinal slot that extends through the sleeve sidewall; positioning a second anchor into a second vertebral body through the second opening with a second access sleeve connected to the second anchor, the second access sleeve having a sleeve sidewall with a longitudinal slot that extends through the sleeve sidewall; positioning the rod member through the first or second access sleeve in a first orientation substantially parallel to a longitudinal axis of the first access sleeve; and rotating the rod member with respect to the insertion device independent of movement along the longitudinal axis such that the rod member extends through the longitudinal slot in the sleeve sidewall, and the rod member extends in a second orientation angled with respect to the first orientation to position the rod member between the first and second anchors. 19. The method of claim 18, wherein the rod member is locked within the first and second anchors with first and second locking caps, respectively. 20. The method of claim 18, further comprising the step of removing the first and second access sleeves."," BACKGROUND OF THE INVENTION Many types of spinal irregularities can cause pain, limit range of motion, or injure the nervous system within the spinal column. These irregularities can result from, without limitation, trauma, tumor, disc degeneration, and disease. Often, these irregularities are treated by immobilizing a portion of the spine. This treatment typically involves affixing a plurality of screws and/or hooks to one or more vertebrae and connecting the screws or hooks to an elongate rod that generally extends in the direction of the axis of the spine. Treatment for these spinal irregularities often involves using a system of pedicle screws and rods to attain stability between spinal segments. Instability in the spine can create stress and strain on neurological elements, such as the spinal cord and nerve roots. In order to correct this, implants of certain stiffness can be implanted to restore the correct alignment and portion of the vertebral bodies. In many cases, an anchoring member such as a pedicle screw along with a vertical solid member can help restore spinal elements to a pain free situation, or at least may help reduce pain or prevent further injury to the spine. As the science and technology of spine surgery continues to progress, there is an increasing interest in developing alternative, minimally invasive, methods to conventional “open” spine surgery. The goals of these less invasive alternatives are to avoid the surgical exposure, dissection, and retraction of muscles and tissues that is necessary with “open” surgery. In general, a minimally invasive spine surgery system should be able to perform the same procedure as the traditional open technique, but through smaller incisions instead of one longer incision. As a result, some physicians feel that using a minimally invasive spine surgery system generally causes less soft tissue damage, reduces blood loss and reduces recovery time. In addition, patients generally prefer the smaller scars that are left using a minimally invasive approach Historically, spine fusion surgery including pedicle screw fixation with deep placement of rods has been one area that has presented significant challenges for minimally invasive approaches. However, advancement in technologies such as fluoroscopy and improvements in optics have contributed to the advent of a few minimally invasive spine fusion surgery techniques. One example of instruments and techniques for performing surgery using minimally invasive techniques is found in U.S. Pat. No. 6,530,929 to Justis et al. The '929 patent discloses a brace installation instrument that is mounted to anchors secured in an animal subject. The installation instrument includes anchor extensions coupled to the anchors. The instrument is movable with respect to the anchors to position a brace in a position more proximate the anchors. The brace can be indexed for insertion at a predetermined orientation with respect to the installation instrument. The brace is inserted through an independent incision in the animal subject and swings along an arc that has a radius of curvature equal to the distance between the anchors and the end of the anchor extensions. While these techniques are steps in the right direction, there remains a need for instruments and methods for vertebral stabilization using minimally invasive techniques."," SUMMARY OF THE INVENTION The present invention relates to a percutaneous vertebral stabilization system. In one aspect of the invention, a first anchor is deliverable to a vertebral body of a patient through a first percutaneous opening with an access sleeve connected thereto. The access sleeve has a central channel extending therethrough along a longitudinal axis. A stabilization member is positionable through the first percutaneous opening to engage the first anchor. A stabilization member insertion device is releasably and rotatably linked to the stabilization member and the stabilization member insertion device is configured and dimensioned to be received within the central channel such that the insertion device and the stabilization member are moveable in the longitudinal direction along the longitudinal axis to position the stabilization member adjacent the first anchor. The stabilization member is deliverable through the central channel in the access sleeve in a first orientation substantially parallel to the longitudinal axis of the access sleeve. Independent of movement along the longitudinal axis, the stabilization member is rotatably actuatable by the stabilization member insertion device to extend in a second orientation angled with respect to the first orientation to position the stabilization member in relation to the first anchor. In another aspect of the invention, the system comprises a second anchor deliverable to a vertebral body of a patient through a second percutaneous opening with a second access sleeve connected to the second anchor. In one variation, the stabilization member insertion device is operable to place the stabilization member in a predetermined position relative to the first and second anchors. In one embodiment, the insertion device extends from a proximal end to a distal end along a longitudinal axis, and the insertion device comprises a first member and a second member. The first member is linearly translatable with respect to the second member along the longitudinal axis. The stabilization member is linkingly engaged to the first member and rotatably engaged to the second member such that, when the first member is translated with respect to the second member along the longitudinal axis the stabilization member rotates about the second member. In another embodiment, the first anchor comprises a coupling element connected to the access sleeve, and the coupling element comprises a portion for receiving the stabilization member. When the coupling element is connected to the access sleeve the receiving portion is unobstructed. In another aspect of the invention, each sleeve includes a pair of longitudinal openings extending along opposing lateral sides and the openings providing lateral access to the central channel. In one embodiment, the insertion device is configured to be received in the central channel and at least a portion of the stabilization member is extendable through the longitudinal openings. In another aspect of the invention, the first and second anchors have a predetermined orientation within the body and the stabilization member has a geometry corresponding to the predetermined orientation of the first and second anchors. In one embodiment of the invention, the first and second anchors comprise polyaxial screws. In another aspect of the invention, the stabilization member comprises a rod and in one particular embodiment of the invention the rod may have a curvilinear shape. In one embodiment, the rod has at least one indentation along its length, wherein the stabilization member insertion device is rotatably linked to the stabilization member about the indentation. In another embodiment, the rod has a proximal end configured and dimensioned to interact with the stabilization member insertion device. The present invention also relates to a method of percutaneously installing a vertebral stabilization system. In one exemplary embodiment, a first percutaneous opening is created in a body of a patient and the method comprises positioning a first anchor to a vertebral body within the patient through the first percutaneous opening with an access sleeve connected thereto. The method further comprises, positioning a stabilization member through the first percutaneous opening to engage the first anchor. The stabilization member is rotatably linked to a stabilization member insertion device, and the stabilization member insertion device is configured and dimensioned to be received within the sleeve such that the insertion device and stabilization member are moveable in the longitudinal direction to position the stabilization member adjacent the first anchor. The method further comprises positioning the stabilization member along the longitudinal axis through the central channel in the access sleeve in a first orientation substantially parallel to the longitudinal axis of the access sleeve; and rotating the stabilization member with respect to the insertion device independent of movement along the longitudinal axis such that the stabilization member extends in a second orientation angled with respect to the first orientation to position the stabilization member in relation to the first anchor.","CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. application Ser. No. 13/648,836, filed Oct. 10, 2012, which is a continuation of U.S. application Ser. No. 12/835,118, filed Jul. 13, 2010, now U.S. Pat. No. 8,308,728, which is a continuation of U.S. application Ser. No. 11/244,036, filed Oct. 6, 2005, now U.S. Pat. No. 7,758,617, which claims priority to U.S. Provisional Application Ser. No. 60/675,102 filed on Apr. 27, 2005, all of which are incorporated herein by reference in their entireties for all purposes. FIELD OF THE INVENTION The present invention relates generally to a vertebral stabilization system, and more particularly, but not exclusively, to a percutaneous vertebral stabilization system. BACKGROUND OF THE INVENTION Many types of spinal irregularities can cause pain, limit range of motion, or injure the nervous system within the spinal column. These irregularities can result from, without limitation, trauma, tumor, disc degeneration, and disease. Often, these irregularities are treated by immobilizing a portion of the spine. This treatment typically involves affixing a plurality of screws and/or hooks to one or more vertebrae and connecting the screws or hooks to an elongate rod that generally extends in the direction of the axis of the spine. Treatment for these spinal irregularities often involves using a system of pedicle screws and rods to attain stability between spinal segments. Instability in the spine can create stress and strain on neurological elements, such as the spinal cord and nerve roots. In order to correct this, implants of certain stiffness can be implanted to restore the correct alignment and portion of the vertebral bodies. In many cases, an anchoring member such as a pedicle screw along with a vertical solid member can help restore spinal elements to a pain free situation, or at least may help reduce pain or prevent further injury to the spine. As the science and technology of spine surgery continues to progress, there is an increasing interest in developing alternative, minimally invasive, methods to conventional “open” spine surgery. The goals of these less invasive alternatives are to avoid the surgical exposure, dissection, and retraction of muscles and tissues that is necessary with “open” surgery. In general, a minimally invasive spine surgery system should be able to perform the same procedure as the traditional open technique, but through smaller incisions instead of one longer incision. As a result, some physicians feel that using a minimally invasive spine surgery system generally causes less soft tissue damage, reduces blood loss and reduces recovery time. In addition, patients generally prefer the smaller scars that are left using a minimally invasive approach Historically, spine fusion surgery including pedicle screw fixation with deep placement of rods has been one area that has presented significant challenges for minimally invasive approaches. However, advancement in technologies such as fluoroscopy and improvements in optics have contributed to the advent of a few minimally invasive spine fusion surgery techniques. One example of instruments and techniques for performing surgery using minimally invasive techniques is found in U.S. Pat. No. 6,530,929 to Justis et al. The '929 patent discloses a brace installation instrument that is mounted to anchors secured in an animal subject. The installation instrument includes anchor extensions coupled to the anchors. The instrument is movable with respect to the anchors to position a brace in a position more proximate the anchors. The brace can be indexed for insertion at a predetermined orientation with respect to the installation instrument. The brace is inserted through an independent incision in the animal subject and swings along an arc that has a radius of curvature equal to the distance between the anchors and the end of the anchor extensions. While these techniques are steps in the right direction, there remains a need for instruments and methods for vertebral stabilization using minimally invasive techniques. SUMMARY OF THE INVENTION The present invention relates to a percutaneous vertebral stabilization system. In one aspect of the invention, a first anchor is deliverable to a vertebral body of a patient through a first percutaneous opening with an access sleeve connected thereto. The access sleeve has a central channel extending therethrough along a longitudinal axis. A stabilization member is positionable through the first percutaneous opening to engage the first anchor. A stabilization member insertion device is releasably and rotatably linked to the stabilization member and the stabilization member insertion device is configured and dimensioned to be received within the central channel such that the insertion device and the stabilization member are moveable in the longitudinal direction along the longitudinal axis to position the stabilization member adjacent the first anchor. The stabilization member is deliverable through the central channel in the access sleeve in a first orientation substantially parallel to the longitudinal axis of the access sleeve. Independent of movement along the longitudinal axis, the stabilization member is rotatably actuatable by the stabilization member insertion device to extend in a second orientation angled with respect to the first orientation to position the stabilization member in relation to the first anchor. In another aspect of the invention, the system comprises a second anchor deliverable to a vertebral body of a patient through a second percutaneous opening with a second access sleeve connected to the second anchor. In one variation, the stabilization member insertion device is operable to place the stabilization member in a predetermined position relative to the first and second anchors. In one embodiment, the insertion device extends from a proximal end to a distal end along a longitudinal axis, and the insertion device comprises a first member and a second member. The first member is linearly translatable with respect to the second member along the longitudinal axis. The stabilization member is linkingly engaged to the first member and rotatably engaged to the second member such that, when the first member is translated with respect to the second member along the longitudinal axis the stabilization member rotates about the second member. In another embodiment, the first anchor comprises a coupling element connected to the access sleeve, and the coupling element comprises a portion for receiving the stabilization member. When the coupling element is connected to the access sleeve the receiving portion is unobstructed. In another aspect of the invention, each sleeve includes a pair of longitudinal openings extending along opposing lateral sides and the openings providing lateral access to the central channel. In one embodiment, the insertion device is configured to be received in the central channel and at least a portion of the stabilization member is extendable through the longitudinal openings. In another aspect of the invention, the first and second anchors have a predetermined orientation within the body and the stabilization member has a geometry corresponding to the predetermined orientation of the first and second anchors. In one embodiment of the invention, the first and second anchors comprise polyaxial screws. In another aspect of the invention, the stabilization member comprises a rod and in one particular embodiment of the invention the rod may have a curvilinear shape. In one embodiment, the rod has at least one indentation along its length, wherein the stabilization member insertion device is rotatably linked to the stabilization member about the indentation. In another embodiment, the rod has a proximal end configured and dimensioned to interact with the stabilization member insertion device. The present invention also relates to a method of percutaneously installing a vertebral stabilization system. In one exemplary embodiment, a first percutaneous opening is created in a body of a patient and the method comprises positioning a first anchor to a vertebral body within the patient through the first percutaneous opening with an access sleeve connected thereto. The method further comprises, positioning a stabilization member through the first percutaneous opening to engage the first anchor. The stabilization member is rotatably linked to a stabilization member insertion device, and the stabilization member insertion device is configured and dimensioned to be received within the sleeve such that the insertion device and stabilization member are moveable in the longitudinal direction to position the stabilization member adjacent the first anchor. The method further comprises positioning the stabilization member along the longitudinal axis through the central channel in the access sleeve in a first orientation substantially parallel to the longitudinal axis of the access sleeve; and rotating the stabilization member with respect to the insertion device independent of movement along the longitudinal axis such that the stabilization member extends in a second orientation angled with respect to the first orientation to position the stabilization member in relation to the first anchor. BRIEF DESCRIPTION OF THE DRAWINGS The invention will be more readily understood with reference to the embodiments thereof illustrated in the attached drawing figures, in which: FIG. 1 is a perspective view of one embodiment of a percutaneous vertebral stabilization system according to the present invention; FIG. 2 is an expanded view of one embodiment of an anchor according to the present invention; FIG. 3 is a perspective view of one embodiment of a sleeve according to the present invention shown in a first position; FIG. 4 is an enlarged partial perspective view of the sleeve of FIG. 3 shown engaging a portion of the anchor of FIG. 2; FIG. 5 is a perspective view of the sleeve of FIG. 3 shown in a second position; FIG. 6 is an enlarged partial perspective view of the sleeve of FIG. 3 shown without an anchor retained therein; FIG. 7 is a perspective view of one embodiment of a stabilization member insertion device according to the present invention; FIG. 8 is a perspective view of one embodiment of a stabilization member according to the present invention; FIG. 9 is an enlarged partial perspective view of the stabilization member of FIG. 8; FIGS. 9A-9C are enlarged side views of alternative indentations of the stabilization member of FIG. 9; FIG. 10 is an enlarged partial perspective view of the insertion device of FIG. 7 shown in a first position; FIG. 11 is an enlarged partial perspective view of the insertion device of FIG. 7 shown in a second position; FIG. 12 is another enlarged partial perspective view of the insertion device of FIG. 7 shown in a first position; FIG. 13 is a perspective view of one embodiment of a distractor device according to the present invention; FIG. 14 is an enlarged partial perspective view of the distractor device of FIG. 13 shown in a first position; FIG. 15 is an enlarged partial perspective view of the distractor device of FIG. 13 shown in a second position; FIG. 16 is another embodiment of a sleeve according to the present invention shown engaging a portion of the anchor of FIG. 2; and FIG. 17 is an enlarged partial perspective view of the sleeve of FIG. 16; FIG. 18 is a perspective view of one embodiment of an alignment device according to the present invention; FIG. 19 is a side view of one embodiment of a sleeve attachment member according to the present invention; FIG. 20 is a side view of another embodiment of a connecting element according to the present invention; FIG. 21 is an exploded view of another embodiment of a stabilization member insertion device according to the present invention; FIGS. 22-23 are perspective views of another embodiment of a stabilization member according to the present invention; FIG. 24 is a perspective view of the forked assembly of insertion device of FIG. 21; FIGS. 25-26 are perspective and cross-sectional views of the pusher assembly of FIG. 21; FIG. 27 is a perspective view of the pusher member of FIGS. 25-26; FIG. 28 is a perspective view of the retainer tube assembly of FIG. 21; FIGS. 29-30 are side views of a rod reducer assembly according to the present invention. FIG. 31 is a perspective view of the assembly of FIGS. 29-30 shown in operation in a first position; FIG. 32 is a perspective view of the assembly of FIGS. 29-30 shown in operation in a second position; FIG. 33 is partial cross-sectional view of a reducer shaft of the assembly of FIGS. 29-30; FIG. 34 is an end view of the shaft of FIG. 33; and FIG. 35 is a perspective view of an attachment sleeve for use with the assembly of FIGS. 29-30. Throughout the drawing figures, it should be understood that like numerals refer to like features and structures. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The preferred embodiments of the invention will now be described with reference to the attached drawing figures. The following detailed description of the invention is not intended to be illustrative of all embodiments. In describing preferred embodiments of the present invention, specific terminology is employed for the sake of clarity. However, the invention is not intended to be limited to the specific terminology so selected. It is to be understood that each specific element includes all technical equivalents that operate in a similar manner to accomplish a similar purpose. Referring to FIG. 1, one embodiment of a system 10 according to the invention is shown. System 10 generally comprises a first anchor 12, a second anchor 14, and a connecting member or stabilization member 16 that is configured to connect and/or extend between the first and second anchors 12, 14 for stabilizing at least a portion of a vertebrae of a patient. First anchor 12 is positionable within a body of a patient through a first percutaneous opening 18 and a second anchor 14 is positionable within a body of a patient through a second percutaneous opening 20. In one embodiment, first and second anchors 12, 14 are configured to engage first and second vertebra 21, 23. Stabilization member 16 is positionable within the body of a patient through first percutaneous opening 18 to engage and connect first and second anchors 12, 14. Sleeves 22, 24 extend from anchors 12, 14 and facilitate insertion of anchors 12, 14 and stabilization member 16 and fixation of stabilization member 16 to anchors 12, 14. Stabilization member 16 may be installed percutaneously or non-percutaneously into receiving portions or channels 26 of anchors 12, 14. Connecting member or stabilization member 16 generally comprises an elongate rod or shaft. Stabilization member 16 may have an arcuate or curvilinear shape. In alternative embodiments, however, stabilization member 16 can include any configuration known for a rod, implant, or fastener, and can be straight or have any curvature along its length including a compound curvature. As shown in FIG. 1, a stabilization member insertion device 30 may be inserted into sleeve 22 to facilitate insertion of stabilization member 16 into anchors 12, 14. In one embodiment, stabilization member insertion device 30 is releasably and rotatably linked to the stabilization member 16 and the stabilization member insertion device 30 is configured and dimensioned to be received within the sleeves 22, 24 such that the insertion device 30 and stabilization member 16 are moveable in the longitudinal direction within the sleeve to position stabilization member 16 adjacent the anchors 12, 14. As will be discussed in more detail below, stabilization member 16 is deliverable through the sleeve in a first orientation substantially parallel to the axis of the sleeve and is rotatable to a second orientation at an angle with respect to the first orientation. Furthermore, the stabilization member 16 is rotatably actuatable by insertion device 30 independent of movement along the axis of the sleeve, i.e. the stabilization member 16 may be rotated by insertion device 30 anywhere along the length of the sleeves 22, 24. Such a feature may be particularly advantageous, for example, to adjust the pathway or route that the stabilization member 16 travels through the body tissue during installation. In addition, due to the independent aspect of the rotation of the stabilization member, rotation may be actuated or independently controlled without moving the insertion device with respect to the sleeves 22, 24. In this regard, rotation of stabilization member 16 may be rotated without downward exertion of force upon the sleeve and/or anchor. Each of the first and second anchors 12, 14 generally comprises a bone fastener such as a bone screw 32 with a head 34 and a shaft or shank 36 having bone engaging threads. As shown in FIG. 2, screw 32 is cannulated with a central passage or lumen 38 extending along a central axis 39, however, non-cannulated screws may also be used. Head 34 includes a tool engagement surface or opening 40 configured to receive a driving tool to provide torque and drive the screw into bone. In one embodiment, screw 32 is a polyaxial screw assembly that has a coupling element 42 pivotably coupled to head 34 of screw 32. In this regard, screw 32 is capable of rotating within coupling element 42 to assume a plurality of angles. One example of a polyaxial screw that may be used with the present invention is described in co-pending U.S. patent application Ser. No. 10/826,285, the entire contents of which are incorporated by reference. Referring to the embodiment of FIG. 2, coupling element 42 is configured and adapted to receive the stabilization member 16. In general, coupling element 42 includes a U-shaped body 44 defining a channel 26 in which stabilization member 16 may be locked or fixed in place by, for example, a locking cap. In alternate embodiments, alternative means of rigidly coupling stabilization member 16 to an anchor may be used by those skilled in the art, including alternative configurations of coupling elements and locking devices or methods. In one embodiment, coupling element 42 includes features to couple with sleeves 22, 24. In the illustrated embodiment, sleeves 22, 24 may extend from each of the anchors 12, 14 and provide a portal or passageway through the body of a patient to access anchors 12, 14. Referring to FIGS. 3-6, one embodiment of a sleeve 50 according to the invention is shown comprising an inner sleeve member 52 and an outer sleeve member 54 extending from a proximal end 56 to a distal end 58 along an axis 60. Inner sleeve member 52 and outer sleeve member 54 have a central channel 62 extending axially through sleeve 50 and the sleeve members 52, 54 are axially slidable with respect to each other. Outer sleeve member 54 generally comprises an extended tube with a generally cylindrical top portion 64 and a pair of generally rigid arms 66, 68 extending axially from top portion 64 in a distal direction. Inner sleeve member 52 generally comprises an extended tube with a generally cylindrical top portion 70 and a pair of semi-cylindrical flexible arms 72, 74 extending axially from top portion 70 in a distal direction. Slots or openings 76 extend along the lateral sides of sleeve 50 to provide access to central channel 62 of sleeve 50. Openings 76 extend axially between the arms of the inner and outer sleeve members from the top portion to the distal end of the sleeve members. According to one embodiment, a slot 78 may be provided adjacent the proximal end of top portion 64 of inner sleeve member 52 to engage a pin 80 extending radially inward from the top portion 70 of outer sleeve member 54 to orient the sleeve members 52, 54 together and align the openings 76 between the inner and outer sleeve members. Sleeve 50 may be made of any material suitable for surgical instruments. In one preferred embodiment, sleeve 50 may be made of a metal material. In operation, arms 72, 74 of inner sleeve member 52 may be compressed radially inward or expanded radially outward depending on the particular application. Inner sleeve member 52 also includes a retainer portion 82 at its distal end to attach an anchor to the distal end of sleeve 50. As best seen in FIG. 4, arms 72, 74 may include finger members 84 extending laterally inward from the distal end to provide additional retention capability. In FIGS. 3-5, sleeve 50 is shown with coupling element 42 of bone screw 32 is received within retainer portion 82 at a distal end 58 of inner sleeve member 52 of sleeve 50. In one variation, bone screw 32 may be inserted into inner sleeve member 52 from the bottom or distal end 58 when the inner sleeve member 52 is extended axially outside of the outer sleeve member (the position shown in FIG. 3). In this regard, retainer portion 82 may snappably or resiliently receive the coupling element 42 of screw 32. The inner wall 85 of retainer portion 82 is shaped to conform to the outer perimeter of coupling element 42 such that when arms 72, 74 of inner sleeve member 52 are compressed radially inward, the coupling element 42 of screw 32 is rotationally and axially fixed with respect to sleeve 50 or radially contained within sleeve 50. As shown in FIG. 6, in one embodiment, the inner wall 85 of retainer portion 82 includes a bottom ridge wall 86 and an upper ridge wall 88. Bottom ridge wall 86 is configured and adapted to engage the underside of coupling element 42 of screw 32 and upper ridge wall 88 is configured and adapted to engage the top of coupling element 42 to axially fix screw 32 with respect to sleeve 50. When outer sleeve member 54 is slid down over inner sleeve member 52, inner sleeve member 52 compresses against or radially contains coupling element 42 to hold it firmly against the inner wall 85 of retainer portion 82. Furthermore, finger members 84 provide additional retention capability along the lateral sides of coupling element 42. In this regard, anchors 12, 14 may be mounted to sleeve 50 and held in a fixed position relative to sleeve 50 and axis 60 of sleeve 50 is aligned with axis 39 of bone screw 32 such that when a guidewire, or a tool is inserted into screw 32, screw 32 and sleeve 50 are maintained in this aligned position. Furthermore, when the coupling element is attached to sleeve 50, the channel 26 or portion for receiving the stabilization member is unobstructed such that the stabilization member or rod may be unimpeded by, for example, a shelf, guide, ramp, or any other protrusion extending inward from the sleeve interior, during insertion into channel 26. In addition, installation of the stabilization member into channel 26 is simplified without having to remove such an obstruction prior to inserting the stabilization member into channel 26. Referring now to FIG. 18, one embodiment of an alignment tool 200 is shown that may be used with system 10 of FIG. 1. Alignment tool 200 generally comprises a connecting element 202 extending between sleeve attachment members 204. Sleeve attachment members 204 are configured and dimensioned to attach to the proximal end 205 of sleeves 22, 24. In one variation, connecting element 202 may have a cylindrical cross-section. In other embodiments, connecting element 202 may have a polygonal or multisided cross-section. In the embodiment of FIG. 18, the connecting element 202 is shown extending along a lateral side of sleeves 22, 24, however, in alternate embodiments, connecting element 202 may be placed or located on either side, or both sides, of the sleeves 22, 24. Connecting element 202 is configured to connect to sleeve attachment members 204 to constrain or align sleeve 22, 24 in a common plane. For example, as shown in FIG. 18, the longitudinal axis 206 of sleeve 22 is coplanar with axis 208 of sleeve 24 when alignment tool 200 is attached to system 10. By extension, the channels of anchors 12, 14 are aligned such that when stabilization member 16 is inserted, it may reliably align and extend from first anchor 12 to second anchor 14. In one embodiment, shown in FIG. 18, the connecting element 202 comprises a longitudinal rod 210. Referring to FIG. 19, another embodiment of connecting element 202 is shown and element 202 comprises a bar or plate 212 having a slot 213. In alternate embodiments, connecting element 202 may have any alternate shape known to those skilled in the art such that the axes 206, 208 of sleeves 22, 24 to which it is connected are coplanar when alignment tool 200 is attached. Referring to FIG. 20, in one embodiment sleeve attachment member 204 may comprise a C-shaped clip 214. Clip 214 generally comprises arms 215 defining an open end 216 configured and dimensioned to engage and attach to the proximal ends 205 of sleeves 22, 24. In this regard, clip 214 may comprises parallel flat surfaces 218 on the inner surface thereof to engage, register, and/or align with openings 220 of sleeves 22, 24 to maintain the sleeves 22, 24 in a fixed position with respect to clip 214. In one variation, clip 214 may include protrusions 222 extending radially inward from the inner surface such that clip 214 may snappedly engage sleeves 22, 24. Threaded mounting holes 224, extend through the arms 215 of clip 214 to mount the connecting element 202 thereto. For example, in the slotted bar embodiment shown in FIG. 19, a set screw 226 may be used to attach the slotted plate 212 to clip 214. Also, once sleeves 22, 24 are aligned with the alignment tool 200, there is still flexibility to move sleeves 22, 24 with respect to each other and as a unit. Once attached, slotted plate 212 may be adjusted along the slot 213 to move the proximal ends 205 of sleeves 22, 24 closer together or further apart, as desired. Similarly, in the rod shaped connecting element shown in FIG. 18, the proximal ends 205 of sleeves 22, 24 may be moved along the rod 210. Furthermore, once alignment tool 200 is attached to system 10 the sleeves 22, 24 may be moved together as a unit angularly about the distal ends 207, while maintaining coplanar relationship of axes 206, 208 and alignment of channels 26 of the anchors 12, 14. Referring now to FIGS. 7-12, one embodiment of a stabilization member 16 and insertion device 30 is shown. As shown in FIGS. 8 and 9, stabilization member 16 generally comprises an elongate rod 100 extending from a proximal end 102 to a distal end 104 along an axis 106. In one embodiment, rod 100 is curved or arcuate along its length. However, in alternate embodiments, rod 100 may have any alternate shape. According to one aspect of the embodiment, rod 100 includes a generally tapered or conical shaped nose or tip 108 at its distal end 104 to facilitate insertion and installation of rod 100 into the body of a patient. In alternate embodiments, tip 108 may have varied shapes and sizes. A linkage connector 110 is provide at the proximal end 102 of rod 100 and comprises a pair of generally flat arm members 112, 114 extending proximally from proximal end 102 of rod 100. Holes 116,118 extend through each arm member 112, 114, respectively and are coaxially positioned along a linkage axis 120. Holes 116, 118 are configured and dimensioned to linkingly engage a driving link arm 132 of insertion device 30. In one embodiment, rod 100 is removably linked to arm 132 such that rod 100 may be detached from arm 132 as desired by an operator of insertion device 30. In another embodiment, ball detents 134 protrude outward from arm 132 to engage holes 116, 118. In this regard, slots 122 may be provided adjacent holes 116, 118 to facilitate insertion and removal of ball detents from holes 116, 118. Referring again to FIG. 8, in one embodiment, rod 100 may include a pair of diametrically opposed indentations 124 spaced from proximal end 102 of rod 100. Indentations 124 are coaxially aligned on a pivot axis 126 that extends generally perpendicular to a central axial plane of rod 100 and pivot axis 126 generally defines an axis about which rod 100 may pivot. Indentations 124 are configured and dimensioned to releasably rotatably engage pivot arms 136, 137 of insertion device 30 such that rod 100 may pivot with respect to pivot arms 136, 137. In a preferred embodiment, indentations 124 comprise semispherical concave shapes that cooperatively engage semispherical protrusions 138 extending from pivot arms 136, 137. In alternative embodiments, shown in FIGS. 9A-9C, indentations 124 may comprise alternative shapes when viewed along axis 126 such as a pair of laterally spaced semi-circular indentations 125 shown in FIG. 9A, a pair of laterally spaced rectangular indentations 127 shown in FIG. 9B, or a pair of laterally spaced triangular indentions 129 shown in FIG. 9C. To attach rod 100 to pivot arms 136, 137, the pivot arms may be resiliently expanded slightly to allow protrusions 138 engage or snap into indentations 124. In operation, once protrusions 138 cooperatively engage indentations 124, rod 100 may rotate or pivot about pivot axis 126. Referring to FIGS. 7 and 10-12, stabilization member insertion device 30 generally comprises an outer tube 140 and an inner shaft 142 concentrically disposed within outer tube 140. Inner shaft 142 is moveable with respect to outer tube 140 along a longitudinal axis 144. Knob 143 is internally threaded to mate with external threads of inner shaft 142 such that rotation of knob 143 causes linear translation of inner shaft 142 with respect to outer tube 140 along axis 144. End member 146 is rotatably linked to the distal end of inner shaft 142 and is rotatably linked to the proximal end 148 of a driving link arm 136 about an axis 150. The distal end of driving link arm 136 is rotatably linked to the proximal end 102 of rod 100. A pair of pivot arms 136, 137 extend distally from the outer tube 140 and releasably link to rod 100 at pivot axis 126. In operation, when shaft 142 is moved downward or in the distal direction along axis 144 with respect to outer tube 140, driving link arm 136 pushes or drives the proximal end 102 of rod 100 downward or in the distal direction and causes rod 100 to rotate or pivot about pivot axis 126. Thus, rod 100 is moveable from a generally upright orientation or position or a position wherein axis 144 is aligned with or parallel to axis 106 (FIG. 10) to a more horizontal orientation or position or a position wherein axis 144 is perpendicular or angled with respect to axis 106 (FIG. 11). As described above, in one embodiment stabilization member 16 is rotatably actuatable by insertion device 30 independent of movement along the axis of the sleeve, i.e. the stabilization member 16 may be rotated by insertion device 30 anywhere along the length of the sleeves 22, 24. Referring now to FIGS. 21-28, another embodiment of a stabilization member 228 and insertion device 230 is shown. As shown in FIGS. 22 and 23, stabilization member 228 generally comprises an elongate rod 232 extending from a proximal end 234 to a distal end 236 along an axis 238. Rod 232 is similar to rod 100 in many respects, however, proximal end 234 differs from proximal end 102 of rod 100. Proximal end 234 of rod 232 comprises a generally concave or rounded ramped tip surface 240 angled with respect to longitudinal axis 238 configured and dimensioned to interface or engage with an actuating or pushing member 242 of insertion device 230. Rod 232, like rod 100 described above, includes diametrically opposed indentions 244 that function similar to indentations 124 described above such that rod 232 may rotate about a pivot axis 246 extending between indentations 244 when rod 232 is connected to insertion device 230. Referring again to FIG. 21, stabilization member insertion device 230 is similar to insertion device 30 except rod 232 is not positively linked at its proximal end 234 to a driving link arm as described above with respect to proximal end 102 of rod 100. Insertion device 230 generally comprises a forked assembly 250, a pusher assembly 252, and a retainer tube assembly 254. As best seen in FIG. 24, forked assembly 250 generally comprises an elongate shaft 256 at a proximal end 258 and a pair of prongs or fork tines 260 at a distal end 262. As best seen in FIGS. 25-27, pusher assembly 252 generally comprises a pusher member 242 linkingly connected to a hollow turning shaft 264 by a slider 266. Slider 266 is freely rotatably connected to turning shaft 264 at its distal end such that rotation of shaft 264 causes translation of slider 266 in the distal direction along axis 245. Device 230 is similar to device 30 except driving link arm 136 of device 30 is replaced with a pusher member 242 that includes a distal end 268 configured and dimensioned to pushingly and/or slidingly engage proximal end 234 of rod 232. The pair of forked tines 260 extends distally from forked assembly 250 and are releasably linked to rod 232 by ball engaging detents 270 at pivot axis 246. In this regard, tines 260 are resiliently and outwardly expandable such that rod 232 may be inserted between detents 270 positioned adjacent the distal end of fork assembly 250. Forked assembly 250 is dimensioned to be cooperatively received within hollow turning shaft 264 such that tines 260 extend on either side of pusher member 242. A threaded section 257 of forked assembly 250 is configured to interface with internal threads of knob 265. Retainer tube assembly 254 generally comprises a cylindrical tube 272 with a longitudinal slot 274 configured to engage a pin 276 of sleeves 22, 24, to ensure proper angular alignment with respect to sleeves 22, 24. A handle 278 may be provided to facilitate insertion of tube 272 into sleeves 22, 24, and to provide a longitudinal or axial stop to ensure that tube 272 extends a sufficient length into sleeves 22, 24, such that rod 232 may be positioned sufficiently proximate to anchors 12, 14 attached to the distal ends of sleeves 22, 24. In operation, when knob 265 is rotated, turning shaft 264 is rotated with respect to forked assembly 250 and slider 266 is moved downward or in the distal direction along axis 245 and pusher member 242 pushes or drives the proximal end 234 of rod 232 downward or in the distal direction and causes rod 232 to rotate or pivot about pivot axis 246. Thus, rod 232 is moveable from a generally upright orientation or position or a position wherein axis 245 is aligned with axis 247 to a more horizontal orientation or position or a position wherein axis 245 is perpendicular or angled with respect to axis 247. As described above, in one embodiment stabilization member 16 is rotatably actuatable by insertion device 230 independent of movement along the axis of the sleeve, i.e. the stabilization member 16 may be rotated by insertion device 230 anywhere along the length of the sleeves 22, 24. In general, insertion device 30 and 230 are similar in that each device generally comprises a first member and a second member, wherein the first member is linearly translatable with respect to the second member along the longitudinal axis of the device and the stabilization member is linkingly engaged to the first member and rotatably engaged to the second member. When the first member is translated with respect to the second member along the longitudinal axis, the stabilization member rotates about the second member. Referring now to FIGS. 13-15, one embodiment of a distractor tool 150 according to the invention is shown. Distractor tool 150 operates similarly to insertion device 30 described above except that it has a generally straight distractor bar 152 linkedly attached to its distal end 154. The proximal end 156 of distractor bar 152 is rotatably linked to the distal end of a push rod 158 that rotates distractor bar 152 about axis 160. In operation, when push rod 158 is moved downward or in the distal direction along axis 162 push rod 158 pushes or drives the proximal end 156 of distractor bar 152 downward or in the distal direction and causes distractor bar sleeve 50 or 170152 to rotate about axis 160. Thus, distractor bar 152 is moveable from a generally upright position or a position wherein axis 162 is aligned with axis 164 (FIG. 14) to a more horizontal position or a position wherein axis 162 is perpendicular to axis 164 (FIG. 15). Distractor tool 150 is configured and dimensioned to be received within sleeve 50 or 170 and may be utilized to distract or move tissue positioned between first and second anchors 12, 14. In this regard, distractor tool 150 may be used by a surgeon to clear a pathway in the body of a patient so that stabilization member 16 may be subsequently more easily inserted. According to one aspect of the present invention, distractor bar 152 has an angled tip and a generally straight blade-like shape to facilitate tissue separation. Referring now to FIGS. 29-35, one embodiment of a rod reducer instrument 300 is shown that is configured and dimensioned to be utilized with the percutaneous systems described herein. Rod reducer instrument 300 generally comprises a rotation shaft 302, a reducer shaft 304, and an attachment sleeve 306 configured to engage and attach to a proximal end of sleeves 22, 24. Rotation shaft 302 comprises a through-hole 310 adjacent a distal end of shaft 302 and is configured to receive a pin 312 therethrough to axially connect rotation shaft 302 to reducer shaft 304. Pin 312 is configured to engage a radial slot 314 of shaft 304 such that shaft 304 may rotate freely while remaining axially fixed to shaft 302. Rotation shaft 302 comprises an externally threaded section 308 along a portion of the shaft configured to threadedly engage or mate with corresponding internal threads along the interior of attachment sleeve 306. Referring to FIG. 35, attachment sleeve 306 generally comprises a distal end having arms 315 adjacent the distal end defining a lateral opening 316 configured and dimensioned to engage and attach to the proximal end 205 of sleeves 22, 24. In this regard, arms 315 may comprise parallel flat surfaces 318 on the inner surface thereof to engage, register, and/or align with openings 220 of sleeves 22, 24 to maintain the attachment sleeve 306 in a fixed position with respect to a sleeve 22, 24 attached thereto. As best seen in FIG. 33, reducer shaft 304 is a cannulated shaft including a central lumen 320 extending therethrough. Radial indentation or slot 314 is provided adjacent the proximal end to axially connect with rotation shaft 302. The proximal end of shaft 304 includes a rotation tool engaging feature to facilitate rotation of shaft 304 and the distal end of shaft 304 is configured to hold a cap. Referring to FIG. 34, in one embodiment, the distal end comprises cap engaging or holding protrusions 322 extending inward to engage a cap. Furthermore, a pair of slits 324 may be provided to allow slight movement of the distal end of shaft 304 to releaseably engage the fastener cap. A key slot 326 may be provided to facilitate entry and alignment with sleeves 22, 24 and by extension anchors 12, 14 attached at the distal end thereof. The cap held in the distal end has a channel or trough to engage the rod to push the rod downward toward the fastener. Referring to FIGS. 31 and 32, in operation, as shaft 302 is threadedly rotated with respect to attachment sleeve 306, reducer shaft 304 is translated in the axial direction and yet does not rotate, providing a force in the axial direction that may be used, for example, to force a spinal rod from a first position spaced from a fastener (FIG. 31) to a second position proximate to a fastener at the distal end of sleeves 22, 24 (FIG. 32). Once in place, the reducer shaft 304 can be rotated to click and/or install the cap into each fastener. In one embodiment a hex driver may be inserted through cannulated rotation shaft 302 to engage the proximal end of reducer shaft 304 to rotate reducer shaft 304 and to rotate the cap with respect to the fastener to install the cap into the proximal end of the fastener. Once the cap is installed another driving tool may be inserted through rotation shaft 302 and lumen 320 of shaft 304 to rotationally engage a set screw and the set screw can then be tightened to secure the rod in place. The rod reducer instrument 300 can then be removed from sleeves 22, 24, leaving the stabilization member 16 installed in the anchors 12, 14. Referring to FIGS. 16-17, an alternative embodiment of a sleeve 170 according to the present invention is shown. Sleeve 170 generally comprises a unitary sleeve body 172 extending from a proximal end 174 to a distal end 176 along a longitudinal axis 178. A central channel 180 extends axially through sleeve body 172 and a pair of longitudinal slots or openings 182 extend through the sleeve sidewall 184 along diametrically opposite lateral sides of sleeve body 172 and provide access to central channel 180. Central channel 180 may have any desired cross-sectional shape when viewed in an end view. In one embodiment, central channel 180 is sized and dimensioned to permit a screw cap (not shown) to be inserted therein. In a preferred embodiment, channel 180 is sized and dimensioned to receive a screw therein such that the screw may be inserted from the top or proximal end 174 of sleeve 170. Sleeve 170 may also include one or more resilient tabs 186 integral with the sidewall 184. Tabs 186 include a radially inward protruding ramp portion 188 and a lip portion 190. When a screw, such as screw 32 depicted in FIG. 2, is inserted from the proximal end 174 of sleeve 170 and slid axially toward the distal end 176, the coupling element 42 of screw 32 engages ramp portion 188 to push out or radially expand tabs 186 and allow screw 32 to move distally beyond lip portion 190. Once screw 32 is in a position distally beyond lip portion 190, tabs 186 resiliently spring back radially inward such that lip portion 190 prevents coupling element 42 from moving upward or in the proximal direction. Sleeve 170 has a retention portion 194 at its distal end that is similar to the embodiment of FIGS. 3-6 described above, except in this embodiment screw 32 is contemplated to be top loaded or inserted into the proximal end 174 of sleeve 170. Another feature of the present embodiment is sleeve 170 includes a breakable or separatable connection at its distal end. In this regard, sleeve 170 may include a break line or cut 196 to facilitate controlled breakage of sleeve 170 at its distal end 176. Sleeve 170 may be made of any material suitable for surgical instruments. In one preferred embodiment, sleeve 170 may be made from a plastic material. Surgical techniques or methods using the above described system and device will now be described. According to one embodiment of the present invention, anchors 12, 14 may be implanted into the vertebrae percutaneously. In one preferred embodiment, each of the anchors 12, 14 is attached to, mounted on, or retained in sleeve 50 or 170, and the sleeve 50 or 170 and attached anchor are inserted through an open incision, a tube or cannula, or directly through the skin and tissue of the patient to implant anchors 12, 14 in bone, such as the pedicles of a vertebrae, as shown in FIG. 1. In alternate embodiments, anchors 12, 14 can be implanted into bone without a sleeve 50 or 170 attached thereto, and sleeve 50 or 170 may be mounted on an anchor after it is implanted. The methods of the present invention can employ any imaging system known to those skilled in the art to determine and locate optimum placement and orientation of the anchors in the vertebrae and/or to identify locations for percutaneous skin puncture for entry of the anchors. Other methods known by skilled artisans for locating and placing anchors 12, 14 into the vertebrae may be also used, including, but not limited to, a CT scan or x-ray, any known viewing instrument or apparatus, endoscopic, and microscopic monitoring. In one embodiment, after location of the pedicle entrance point, the percutaneous instrumentation of the pedicle may begin with the insertion of a cannulated needle through the skin of a patient to the intersection of the facet and transverse process of a vertebral body to which an anchor is to be implanted. A Kirschner wire or guidewire may be inserted through the needle cannula and into the pedicle. Successive dilation cannulas may be subsequently inserted over the guidewire to dilate the fascia and muscle until a working cannula is large enough to accommodate anchor 12 or 14. All but the largest cannula may be removed from the working cannula to expose a passageway though the skin to the pedicle or insertion site. In one embodiment, a hole in the pedicle may be prepared by placing a cannulated drill and/or tap over the guidewire and through the working cannula to prepare the pedicle for screw insertion. In other embodiments, the pedicle may be prepared with other instruments known in the art, including but not limited to an awl, a trocar, and a needle. Once the pedicle is prepared, a cannulated anchor, such as screw 32 attached to sleeve 50 or 170, may be paced over the guidewire and advanced through the working cannula to the prepared hole. A driving tool such as a cannulated screw driver may be used to rotate screw 32 and threadedly engage screw 32 to the bone. Sleeves 50 or 170 follows screw 32 toward the bone as screw 32 is driven therein and the screw driver and guidewire may be removed. The working cannula may also subsequently be removed, leaving the sleeve 50 or 170 and screw 32 secured to the bone. With the anchors 12, 14 secured to the bone and sleeve 50 or 170 extending therefrom, the coupling element 42 of anchors 12, 14 and the slots or openings 76 of each sleeve may be oriented or aligned. In one embodiment an alignment tool (not shown) may be attached to the proximal ends of the sleeves to ensure proper alignment of corresponding slots 76 of the sleeves 22, 24 and the channels 26 of the coupling element 42 of each anchor 12, 14. Stabilization member 16 is positioned on insertion device 30 and readied for insertion into the channels 26 of anchors 12 and 14, respectively. Insertion device 30 may be inserted into sleeve 22 with the stabilization member 16 initially in a generally vertical position (as shown in FIG. 10). As insertion device 30 is advanced in the distal direction within sleeve 22 and shaft 142 is moved in the distal direction, rod 100 rotates or pivots about pivot axis 126 to a more horizontal position (as shown in FIG. 11). In this regard, insertion device 30 moves stabilization member 16 in a distal direction toward anchors 12, 14. The proximal end of rod 100 swings outward through opening 76 of sleeve 22 and the distal tip 108 of stabilization member 16 is advanced toward the aligned channels 26 of anchors 12, 14. In one preferred embodiment, as the insertion device 30 is advanced distally into sleeve 22 and shaft 142 is advanced distally with respect to the outer body 140 of insertion device 30, the tip 108 of stabilization member 16 follows a generally elliptical path entering the patient through first opening 18 and traveling toward the second anchor 14 and through the channel 26 of the second anchor. With rod 100 in a more horizontal position, rod 100 may be then inserted into the channel 26 of the first anchor 12 by advancing the rod insertion tool 30 distally toward the distal end of sleeve 22. Once stabilization member 16 is placed within channels 26 of anchors 12, 14 to the desired position, a cap and/or set screw may be driven downward through sleeve 24 to contact stabilization member 16 and fix stabilization member 16 to anchor 14. A driving tool may be placed through the central channel of sleeve 24 to tighten the cap and/or set screw against the stabilization member until the stabilization member is firmly seated in coupling element 42 of anchor 14. With the stabilization member 16 fixed to anchor 14, insertion device 30 can then be uncoupled from stabilization member 16 and removed from sleeve 22 and a cap may be inserted through sleeve 22 in a similar fashion to fix stabilization member 16 to anchor 12. For sleeve 50, the outer sleeve member 54 may be retracted such that inner sleeve member 52 is in an extended condition (as shown in FIG. 3) such that arms 72, 74 of the inner sleeve member may be expanded outward to be removed around the installed stabilization member 16. In an alternate embodiment, when sleeve 170 is used, the distal end 176 is broken along break line or cut 196 to allow the distal end 176 of sleeve 170 to be removed around the installed stabilization member 16. While the invention herein disclosed has been described with reference to specific embodiments and applications thereof, numerous modifications and variations can be made thereto by those skilled in the art without departing from the scope of the invention as set forth in the claims.",A61B177074,A61B1770,20160105,20180130,20160519,70965.0 15,14903162,PENDING,INCORPORATION OF A GEAR TRAIN IN A PINION WALL IN A GEARBOX FOR A TURBOMACHINE,"An aircraft drive chain including a plurality of main pinions meshing with each other, a first main pinion including a wall delimiting an inner volume, external teeth being provided on an outer surface of the wall, the external teeth meshing with at least one second main pinion, a gear system being incorporated in the inner volume, the first main pinion and the gear system being jointly configured to form a multiplier or reducer and to ensure a mechanical energy transfer between a driving member and a slave member.","1. An aircraft drive chain comprising a plurality of main pinions meshing with each other, a first main pinion including a wall delimiting an inner volume, external teeth being provided on an outer surface of the wall, the external teeth meshing with at least one second main pinion, a gear system being incorporated into the inner volume, the first main pinion and the gear system being jointly configured to form a multiplier or reducer and to ensure a mechanical energy transfer between a driving member and a slave member. 2. The drive chain according to claim 1, the gear system including an annulus member, at least two planet pinions, a planet carrier member and sun teeth, the sun teeth being provided on a shaft connected to the driving member or to the slave member, internal teeth being provided on an inner surface of the annulus member, the planet pinions meshing with the internal teeth and with the sun teeth. 3. The drive chain according to claim 2, the annulus member including the wall of the first main pinion, the planet carrier member being stationary with respect to an aircraft mount. 4. The drive chain according to claim 2, the annulus member including an annular wall stationary with respect to an aircraft mount, the planet carrier member being fixed to the pinion and stationary with respect to the same. 5. The drive chain according to claim 2, all the gears of the gear system being arranged so as to be crossed by a same mid-plane P crossing the external teeth. 6. The drive chain according to claim 2, the gear system including at least one planet pinion having first and second teeth of different diameters. 7. The drive chain according to claim 1, a motion input towards the first main pinion being made by the external teeth. 8. The drive chain according to claim 1, a motion input towards the first main pinion being made through the shaft connected to the driving member or through another shaft connected to the pinion and coaxial to the shaft. 9. The drive chain according to claim 1, the first main pinion being part of a bevel gear. 10. The drive chain according to claim 1, for an accessory gearbox driving device. 11. An accessory gearbox including a drive chain according to claim 1."," TECHNICAL FIELD AND PRIOR ART The present invention relates to gear chains (or a drive chain) present in aircrafts. These gear chains enable a transmission of the mechanical energy between driving members, for example a turbomachine starter or compressor, and slave members for example electric generator- or hydraulic pump-type accessories, or also the turbomachine itself in its starting phase, when it is driven into rotation by the starter. The accessory gearbox (AGB) is a particular example of a gear chain to drive accessories. An accessory gearbox structure of this type is described in the US patent application 2012/0006137. A multiplying or reducing function generally enables the rotational speed of the input motion to be adapted to the parameters specific to each driving member or slave member. For example, a starter includes two parts: a moveable member referred to as an impeller, and a reducer. The aim of the invention is to provide a gear chain structure incorporating such a multiplying or reducing function, which is compact to limit the overall dimensions of the drive chain and/or accessories."," BRIEF DESCRIPTION OF THE DRAWINGS By way of non limiting examples, embodiments of the invention will now be described referring to the accompanying drawings, in which: FIGS. 1A and 1B are perspective cross-section views showing on the one hand a pinion having an inner housing, and on the other hand a mechanical device combining this pinion and a multiplier incorporated in the inner housing (herein schematized by a block); FIGS. 2A and 2B are cinematic diagrams depicting two possibilities of incorporating the pinion of FIGS. 1A and 1B in different gear chains, for example two AGBs, the multiplier not being represented; FIG. 3 is a perspective cross-section view of a first embodiment of the device of FIG. 1B according to a similar view point, detailing the multiplier incorporated in the inner housing of the pinion; FIG. 4 is a cinematic diagram depicting the interactions between the elements of an alternative device of FIG. 3 ; FIG. 5 is a diagram of a double planet pinion which can be used in another alternative device of FIG. 3 ; FIG. 6 is a cinematic diagram depicting the interactions between the elements of a second embodiment of the device of FIG. 1B . detailed-description description=""Detailed Description"" end=""lead""?","TECHNICAL FIELD AND PRIOR ART The present invention relates to gear chains (or a drive chain) present in aircrafts. These gear chains enable a transmission of the mechanical energy between driving members, for example a turbomachine starter or compressor, and slave members for example electric generator- or hydraulic pump-type accessories, or also the turbomachine itself in its starting phase, when it is driven into rotation by the starter. The accessory gearbox (AGB) is a particular example of a gear chain to drive accessories. An accessory gearbox structure of this type is described in the US patent application 2012/0006137. A multiplying or reducing function generally enables the rotational speed of the input motion to be adapted to the parameters specific to each driving member or slave member. For example, a starter includes two parts: a moveable member referred to as an impeller, and a reducer. The aim of the invention is to provide a gear chain structure incorporating such a multiplying or reducing function, which is compact to limit the overall dimensions of the drive chain and/or accessories. DISCLOSURE OF THE INVENTION The invention thus relates to an accessory drive chain for an aircraft turbomachine comprising a plurality of main pinions meshing with each other, a first main pinion including a wall delimiting an inner volume, external teeth being provided on an outer surface of the wall, the external teeth meshing with at least one second main pinion, a gear system being incorporated in the inner volume, the first main pinion and the gear system being jointly configured to form a multiplier or reducer and to ensure a mechanical energy transfer between a driving member and a slave member. The invention thus enables the integration of a multiplier (and/or a reducer) structure of an aircraft driving or slave member into a gear chain pinion rather than into the member itself. This enables a reduction of the volume of this member and of the weight which is cantilevered. This advantageously results on the one hand in reducing the constraints on the mounting flanges of this member and on the other hand in optimizing the inner volume of the module in which the gear chain is mounted. Reducing the volume of the accessories facilitates the incorporation of the accessory gearbox into the turbomachine. According to an advantageous feature, the gear system includes a annulus member, at least two planet pinions, a planet carrier member and sun teeth, the sun teeth being provided on a shaft connected to the driving member or to the slave member, internal teeth being provided on an inner surface of the annulus member, the planet pinions meshing with the internal teeth and the sun teeth. According to an advantageous feature, the annulus member is the wall of the first main pinion, the planet carrier member being stationary with respect to an aircraft mount. According to an alternative advantageous feature, the annulus member includes an annular wall stationary with respect to an aircraft mount, the planet carrier member being fixed to the pinion and stationary with respect to the same. According to an advantageous feature, all the gears of the gear system are arranged so as to be crossed by a same mid-plane P crossing the external teeth. According to advantageous alternative features, the gear system includes at least one planet pinion having first and second teeth of different diameters. Such double teeth advantageously provide a significant range of transmission ratios with minimum overall dimensions. According to an advantageous feature, a motion input towards the first main pinion can be made through the external teeth. Alternatively or cumulatively, a motion input towards the first main pinion is made through the shaft connected to the driving member or through another shaft connected to the pinion and coaxial to the shaft. According to a particular feature, the first main pinion is part of a ring bevel gear. It is for example possible for the first main pinion of an AGB to be the closest pinion to the compressor shaft. The abovedescribed gear chain is for example for an AGB type drive device. The invention can also relate to an accessory gearbox including a drive chain such as abovedescribed. BRIEF DESCRIPTION OF THE DRAWINGS By way of non limiting examples, embodiments of the invention will now be described referring to the accompanying drawings, in which: FIGS. 1A and 1B are perspective cross-section views showing on the one hand a pinion having an inner housing, and on the other hand a mechanical device combining this pinion and a multiplier incorporated in the inner housing (herein schematized by a block); FIGS. 2A and 2B are cinematic diagrams depicting two possibilities of incorporating the pinion of FIGS. 1A and 1B in different gear chains, for example two AGBs, the multiplier not being represented; FIG. 3 is a perspective cross-section view of a first embodiment of the device of FIG. 1B according to a similar view point, detailing the multiplier incorporated in the inner housing of the pinion; FIG. 4 is a cinematic diagram depicting the interactions between the elements of an alternative device of FIG. 3; FIG. 5 is a diagram of a double planet pinion which can be used in another alternative device of FIG. 3; FIG. 6 is a cinematic diagram depicting the interactions between the elements of a second embodiment of the device of FIG. 1B. DETAILED DISCLOSURE OF PARTICULAR EMBODIMENTS FIG. 1A depicts a pinion 10, also referred to as a toothed wheel, having the general shape of a funnel and the longitudinal orientation X. In a particular layout, the pinion 10 includes a rim 21, a wall 22, a barrel 23, external teeth 24 and internal teeth 25. The rim 21 and the barrel 23 are cylindrical and coaxial, the diameter of the barrel 23 being lower than the diameter of the rim 21. The barrel 23 is here a hollow shaft. Alternatively, the barrel 23 is a solid shaft. The wall 22 has here a frustoconical shape. The wall 22 is linked through a first circular edge 22a to an end edge of the rim 21, and through a second circular edge 22b, of a diameter lower than the first edge 22a, to an end edge of the barrel 23. As a non-represented alternative, the wall 22 is flat. The wall 22 can also include openings on part of its surface. The rim 21 and the wall 22 jointly delimit an inner housing 12. The external teeth 24 radially extend from an outer surface 21a of the rim 21 to the outside. The teeth 24 are here straight teeth. In another non represented alternative, these teeth are helical or of another type. The internal teeth 25 radially extend from an inner surface 21b of the rim 21 to the inside, that is into the inner housing 12, here opposite the teeth 24. In the example depicted in FIGS. 1A, 1B and 3, the teeth 25 are straight teeth. In a non-represented alternative, these teeth are helical or of another type. FIG. 1B depicts a mechanical device 9 in which a multiplier 11 is mounted in the inner housing 12 of the abovedescribed pinion 10. In FIG. 1B, the multiplier 11 is represented in a simplified form, by a half-cylinder. In the device 9 detailed in FIG. 3, the multiplier 11 includes planet gears 40 (or planet pinions), a planet carrier 41 and a shaft 42. Here, planet gears 40 are toothed wheels with straight teeth 49. In a non-represented alternative, these teeth are helical or of other types. The teeth 49 are each meshed with the internal teeth 25. There are here three planet gears 40 (only two can be seen in FIG. 3). In a non-represented alternative, the number of planet gears is different from three, for example two or four. The planet carrier 41 here includes two panels 43, a cylindrical portion 45, a frustoconical portion 47 and a flange 48. The panels 43 are parallel to each other. Between them, these panels 43 support shafts 44 on which the planet gears 40 are mounted, each being assembled rotatably moveable through a non-represented system of the roller, bearing or another type. The cylindrical portion 45 of the planet carrier 41 extends from the panel 43 farthest from the barrel 23. A bearing 46, here a roller, provides a rotation mechanical connection between the rim 21 of the pinion 10 and the portion 45. In a non-represented version, this rotation guiding is ensured by another technological element such as a bearing. The frustoconical portion 47 extends by narrowing in the continuation of the cylindrical portion 45. Finally, the flange 48 of the planet carrier 41 is arranged at the distal end of the frustoconical portion, transverse to the longitudinal orientation X. The flange 48 enables the multiplier 11 to be held in position with respect to a fixed frame (not represented). The shaft 42 has straight teeth 50 at an end accommodated in the planet carrier 41. In a non-represented version, these teeth are helical or of another type. These teeth 50 are meshed with the teeth 49 of each of the planet gears 40. The shaft 42 is here provided coaxial with the barrel 23 and the rim 21. The teeth 50 are here formed directly at the end of the shaft 42. Alternatively, they can belong to a pinion (not depicted) mounted on the corresponding end on the shaft 42. A flange 30 independent of the multiplier 11 and of the pinion 10, is mounted on the barrel 23 through a bearing 31, here a roller but it can be of another type such as a bearing. This flange 30 holds the pinion 10 in position with respect to a fixed frame (not represented). The device 9 represented in FIGS. 3 and 4 is in the form of a single planetary gear train in which the pinion 10 serves as a movable annulus via the internal teeth 25 and in which the teeth 50 provided on the shaft 42 serve as a movable (or sun) internal gear, the planet carrier 41 being fixed to a fixed frame. The word annulus refers to an annular element including internal teeth with which the planet pinions mesh. An alternative embodiment 209 to the device 9 will be later described with reference to FIG. 6. As can be seen in FIGS. 2A and 2B, the pinion 10 of the device 9 can be incorporated in different ways into a gear chain or drive chain (or drive train), inside an accessory gearbox, such as the boxes 4a and 4b described thereafter. In FIG. 2A, the pinion 10 is mounted in a first drive train 5 of an accessory gearbox (AGB) 4a. More precisely, the pinion 10 is meshed by its teeth 24 both upstream in 24a with a toothed wheel 13 and downstream in 24b with a toothed wheel 14. By upstream, it is understood that the toothed wheel 13 is, inside the drive chain 5, the closest to a shaft of the turbomachine compressor from which it is possible to collect a mechanical motion. Rotating the toothed wheel 13 thus drives the pinion 10 and the toothed wheel 14 mounted downstream. The motion or power input towards the pinion 10 is then made through the external teeth 24. In FIG. 2B, the pinion 10 is mounted with a second drive chain 6 of an accessory gearbox 4b. Here, the pinion 10 is meshed in 24c with a toothed wheel 15, at the end of the drive chain 6. As an alternative, the motion input in the accessory gearbox 4a from the compressor shaft is made through the external teeth 24 of the pinion 10, that is the pinion 10 brings power to the gear chains 5 or 6 respectively including the pinions 13 and 14 (FIG. 2A) or 15 (FIG. 2B). The examples of FIGS. 2A and 2B are not limiting and the pinion 10 can be mounted at different locations in a drive chain. Moreover, the number of toothed wheels in the drive chain can be different from two or three, for example, but without limitation one, four or five. The shaft 42 is operably connected to a non-represented driving or slave member located outside the accessory gearbox. When the motion input towards the pinion 10 is made through the external teeth 24, for example when the motion comes from the turbomachine compressor, such as above described, the multiplier 11 enables the accessory to be supplied at a desired rotational speed. Alternatively, the accessory is replaced by a driving member (for example a starter) which itself drives the drive chain of the AGB. The motion or power input towards the pinion 10 is then made through the shaft 42 connected to the driving member, or also through the shaft 23 integral with the rim 21 of the pinion 10 and coaxial to the shaft 42. As a non-represented alternative, the pinion is part of a bevel gear and the external teeth are for example formed on a conical or frustoconical rim. The barrel 23 can be connected to another non-represented accessory located outside the accessory gearbox 4a or 4b, opposite the accessory connected to the shaft 42. The abovedescribed device 9 can be used both as a multiplier or a reducer according to whether its drive into rotation is made by the barrel 23, the teeth 24 or the shaft 42. An alternative of the abovedescribed device 9 is schematized in FIG. 4. In this view, there are four planet gears 40 (two of which can be seen in the section plane). In the devices 9 represented in FIGS. 3 and 4, all the teeth of the multiplier 11 and of the pinion 10 are arranged in a same mid-plane P of the rim 21, the mid-plane P being transverse to the longitudinal direction X. As an alternative, the teeth 24 and 25 are arranged staggered with respect to each other, that is other than facing on either side of the rim 21. Further alternatively, it is possible to use a double epicyclic gear train, that is including double planet gears 140 (see FIG. 5), in order to enable a different transmission ratio, for example more significant. In this case, each double planet gear 140 includes two external teeth 149a and 149b: first teeth 149a which are for example of a diameter D1 lower than the diameter D2 of second teeth 149b, the first teeth 149a being meshed with the teeth 50, the second teeth being meshed with the teeth 25. The device 209 schematized in FIG. 6 is an alternative to the device 9 described with reference to FIGS. 3 and 4. The parts common to the devices 9 and 209 bear the same reference numerals and are not described again. The device 209 includes a pinion 210 and an annulus 241. The pinion 210 differs from pinion 10 in that it does not carry on its rim 21 internal teeth opposed to the external teeth 24. On the other hand, a panel 243 is linked to the inside face of the rim 21. The shafts 44 are mounted on the panel 243 and carry the pinions 40. The annulus 241 has an annular portion 221 coaxial with the rim 21 of the pinion 210. This annular portion 221 carries internal teeth 225 which mesh with the teeth 49. The annulus 241 is here fixed to a frame of the fixed accessory gearbox via a flange 48. The device 209 represented in FIG. 6 is in the form of a single epicyclic gear train in which the pinion 210 serves as a movable planet carrier. Other alternative embodiments are still possible without departing from the scope of the invention. For example, in the embodiment described with reference to FIGS. 3 and 4, it is possible to insert a free wheel system (for example of the ratchet wheel type) between the rim 21 and the planet gears 40, the teeth 25 being then provided on an internal ring of the free wheel system. Also, in the embodiment described with reference to FIG. 6, it is possible to insert a free wheel system between the rim 221 and the planet gears 40. With reference to FIGS. 2A and 2B, the gear chains 5 and 6 have been described mounted in an accessory gearbox (or AGB) 4a or 4b. Generally speaking, it will be understood that the invention is not limited to the abovedescribed exemplary devices. The invention can be applied to any accessory driven by the accessory gearbox and which requires a specific multiplying or reducing function.",F16H12854,F16H128,20160106,,20160526,93361.0 16,15000597,ACCEPTED,Method and Apparatus for Encoding Feedback Signal,"A method and apparatus for encoding feedback signal is provided. The method includes: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on a High Speed-Dedicated Physical Control Channel (HS-DPCCH). The encoding the feedback signals of the three carriers may specifically include: mapping the feedback signals of the three carriers into a codeword, in which the codeword can be selected from a codebook, and codewords in the codebook satisfy a particular code distance relationship. The method for jointly encoding feedback signals of three carriers in a Ternary Cell (TC) mode is provided. Feedback signals are transmitted over a single code channel. Therefore, power overhead is reduced, and system performance is improved.","1. A method of encoding feedback signals, comprising: mapping feedback signals of three carriers into a bit sequence according to a mapping relationship as shown in the following table: ACK-DTX-DTX 1 1 1 1 1 1 1 1 1 1 NACK-DTX-DTX 0 0 0 0 0 0 0 0 0 0 DTX-ACK-DTX 1 1 1 1 1 0 0 0 0 0 DTX-NACK-DTX 0 0 0 0 0 1 1 1 1 1 DTX-DTX-ACK 1 1 0 0 0 1 1 0 0 0 DTX-DTX-NACK 0 0 1 1 1 0 0 1 1 1 ACK-ACK-DTX 1 0 1 0 1 0 1 0 1 0 ACK-NACK-DTX 1 1 0 0 1 1 0 0 1 1 NACK-ACK-DTX 0 0 1 1 0 0 1 1 0 0 NACK-NACK-DTX 0 1 0 1 0 1 0 1 0 1 ACK-DTX-ACK 1 0 1 1 0 1 1 0 0 1 ACK-DTX-NACK 0 1 0 1 1 0 1 0 0 1 NACK-DTX-ACK 0 0 0 1 1 1 1 0 1 0 NACK-DTX-NACK 1 0 0 1 1 1 0 1 0 0 DTX-ACK-ACK 0 1 1 1 0 1 0 0 1 0 DTX-ACK-NACK 1 0 1 0 0 1 0 1 1 0 DTX-NACK-ACK 0 1 1 0 0 0 1 0 1 1 DTX-NACK-NACK 0 0 0 0 1 0 1 0 1 1 ACK-ACK-ACK 1 1 0 1 0 0 1 1 1 0 ACK-ACK-NACK 0 1 1 0 1 1 1 1 0 0 ACK-NACK-ACK 1 0 0 1 0 0 0 0 1 1 ACK-NACK-NACK 0 0 1 0 1 1 0 0 0 1 NACK-ACK-ACK 1 1 1 0 0 0 0 1 0 1 NACK-ACK-NACK 0 1 0 0 1 0 0 1 1 0 NACK-NACK-ACK 1 0 0 0 1 0 1 1 0 1 NACK-NACK-NACK 1 1 1 1 0 1 0 1 0 0 and outputting the bit sequence; wherein DTX represents discontinuous transmission, ACK represents acknowledgement, and NACK represents negative acknowledgement. 2. The method of claim 1, further comprising: sending the bit sequence through a High Speed-Dedicated Physical Control Channel (HS-DPCCH). 3. A mobile device, comprising: an encoder, configured to: map feedback signals of three carriers into a bit sequence according to a mapping relationship as shown in the following table: ACK-DTX-DTX 1 1 1 1 1 1 1 1 1 1 NACK-DTX-DTX 0 0 0 0 0 0 0 0 0 0 DTX-ACK-DTX 1 1 1 1 1 0 0 0 0 0 DTX-NACK-DTX 0 0 0 0 0 1 1 1 1 1 DTX-DTX-ACK 1 1 0 0 0 1 1 0 0 0 DTX-DTX-NACK 0 0 1 1 1 0 0 1 1 1 ACK-ACK-DTX 1 0 1 0 1 0 1 0 1 0 ACK-NACK-DTX 1 1 0 0 1 1 0 0 1 1 NACK-ACK-DTX 0 0 1 1 0 0 1 1 0 0 NACK-NACK-DTX 0 1 0 1 0 1 0 1 0 1 ACK-DTX-ACK 1 0 1 1 0 1 1 0 0 1 ACK-DTX-NACK 0 1 0 1 1 0 1 0 0 1 NACK-DTX-ACK 0 0 0 1 1 1 1 0 1 0 NACK-DTX-NACK 1 0 0 1 1 1 0 1 0 0 DTX-ACK-ACK 0 1 1 1 0 1 0 0 1 0 DTX-ACK-NACK 1 0 1 0 0 1 0 1 1 0 DTX-NACK-ACK 0 1 1 0 0 0 1 0 1 1 DTX-NACK-NACK 0 0 0 0 1 0 1 0 1 1 ACK-ACK-ACK 1 1 0 1 0 0 1 1 1 0 ACK-ACK-NACK 0 1 1 0 1 1 1 1 0 0 ACK-NACK-ACK 1 0 0 1 0 0 0 0 1 1 ACK-NACK-NACK 0 0 1 0 1 1 0 0 0 1 NACK-ACK-ACK 1 1 1 0 0 0 0 1 0 1 NACK-ACK-NACK 0 1 0 0 1 0 0 1 1 0 NACK-NACK-ACK 1 0 0 0 1 0 1 1 0 1 NACK-NACK-NACK 1 1 1 1 0 1 0 1 0 0 and output the bit sequence; wherein DTX represents discontinuous transmission, ACK represents acknowledgement, and NACK represents negative acknowledgement. 4. The mobile device according to claim 3, further comprising: a transmitter, configured to transmit the bit sequence through a High Speed-Dedicated Physical Control Channel (HS-DPCCH). 5. A method, comprising: transmitting, by a transmitter, data to a user equipment (UE) on three carriers; receiving, by a receiver, a feedback signal of the three carriers from the UE in response to the UE's reception of the data; wherein the feedback signal of the three carriers is a codeword into which Hybrid Automatic Repeat Request-Acknowledgment (HARQ-ACK) signals of the three carriers are mapped according to the following mapping relationship between the HARQ-ACK signals of the three carriers and the codeword: ACK-DTX-DTX 1 1 1 1 1 1 1 1 1 1 NACK-DTX-DTX 0 0 0 0 0 0 0 0 0 0 DTX-ACK-DTX 1 1 1 1 1 0 0 0 0 0 DTX-NACK-DTX 0 0 0 0 0 1 1 1 1 1 DTX-DTX-ACK 1 1 0 0 0 1 1 0 0 0 DTX-DTX-NACK 0 0 1 1 1 0 0 1 1 1 ACK-ACK-DTX 1 0 1 0 1 0 1 0 1 0 ACK-NACK-DTX 1 1 0 0 1 1 0 0 1 1 NACK-ACK-DTX 0 0 1 1 0 0 1 1 0 0 NACK-NACK-DTX 0 1 0 1 0 1 0 1 0 1 ACK-DTX-ACK 1 0 1 1 0 1 1 0 0 1 ACK-DTX-NACK 0 1 0 1 1 0 1 0 0 1 NACK-DTX-ACK 0 0 0 1 1 1 1 0 1 0 NACK-DTX-NACK 1 0 0 1 1 1 0 1 0 0 DTX-ACK-ACK 0 1 1 1 0 1 0 0 1 0 DTX-ACK-NACK 1 0 1 0 0 1 0 1 1 0 DTX-NACK-ACK 0 1 1 0 0 0 1 0 1 1 DTX-NACK-NACK 0 0 0 0 1 0 1 0 1 1 ACK-ACK-ACK 1 1 0 1 0 0 1 1 1 0 ACK-ACK-NACK 0 1 1 0 1 1 1 1 0 0 ACK-NACK-ACK 1 0 0 1 0 0 0 0 1 1 ACK-NACK-NACK 0 0 1 0 1 1 0 0 0 1 NACK-ACK-ACK 1 1 1 0 0 0 0 1 0 1 NACK-ACK-NACK 0 1 0 0 1 0 0 1 1 0 NACK-NACK-ACK 1 0 0 0 1 0 1 1 0 1 NACK-NACK-NACK 1 1 1 1 0 1 0 1 0 0 wherein DTX represents discontinuous transmission, ACK represents acknowledgement, and NACK represents negative acknowledgement. 6. The method of claim 5, wherein the feedback signal of the three carriers is transmitted through a High Speed-Dedicated Physical Control Channel (HS-DPCCH). 7. The method of claim 5, further comprising: selecting a decode space to decode the feedback signal of the three carriers according to a sending mode. 8. The method of claim 7, wherein the sending mode is as follows: Carrier 1 Carrier 2 Carrier 3 Mode 1 On Off Off Mode 2 Off On Off Mode 3 Off Off On Mode 4 On On Off Mode 5 On Off On Mode 6 Off On On Mode 7 On On On wherein “On” indicates that data is sent on a carrier, and “Off” indicates that data is not sent on a carrier or a carrier is deactivated. 9. A radio access device, comprising: a transmitter, configured to transmit data to a user equipment (UE) on three carriers; a receiver, configured to receive a feedback signal of the three carriers from the UE in response to the UE's reception of the data; wherein the feedback signal of the three carriers is a codeword into which Hybrid Automatic Repeat Request-Acknowledgment (HARQ-ACK) signals of the three carriers mapped according to the following mapping relationship between the HARQ-ACK signals of the three carriers and the codeword: ACK-DTX-DTX 1 1 1 1 1 1 1 1 1 1 NACK-DTX-DTX 0 0 0 0 0 0 0 0 0 0 DTX-ACK-DTX 1 1 1 1 1 0 0 0 0 0 DTX-NACK-DTX 0 0 0 0 0 1 1 1 1 1 DTX-DTX-ACK 1 1 0 0 0 1 1 0 0 0 DTX-DTX-NACK 0 0 1 1 1 0 0 1 1 1 ACK-ACK-DTX 1 0 1 0 1 0 1 0 1 0 ACK-NACK-DTX 1 1 0 0 1 1 0 0 1 1 NACK-ACK-DTX 0 0 1 1 0 0 1 1 0 0 NACK-NACK-DTX 0 1 0 1 0 1 0 1 0 1 ACK-DTX-ACK 1 0 1 1 0 1 1 0 0 1 ACK-DTX-NACK 0 1 0 1 1 0 1 0 0 1 NACK-DTX-ACK 0 0 0 1 1 1 1 0 1 0 NACK-DTX-NACK 1 0 0 1 1 1 0 1 0 0 DTX-ACK-ACK 0 1 1 1 0 1 0 0 1 0 DTX-ACK-NACK 1 0 1 0 0 1 0 1 1 0 DTX-NACK-ACK 0 1 1 0 0 0 1 0 1 1 DTX-NACK-NACK 0 0 0 0 1 0 1 0 1 1 ACK-ACK-ACK 1 1 0 1 0 0 1 1 1 0 ACK-ACK-NACK 0 1 1 0 1 1 1 1 0 0 ACK-NACK-ACK 1 0 0 1 0 0 0 0 1 1 ACK-NACK-NACK 0 0 1 0 1 1 0 0 0 1 NACK-ACK-ACK 1 1 1 0 0 0 0 1 0 1 NACK-ACK-NACK 0 1 0 0 1 0 0 1 1 0 NACK-NACK-ACK 1 0 0 0 1 0 1 1 0 1 NACK-NACK-NACK 1 1 1 1 0 1 0 1 0 0 wherein DTX represents discontinuous transmission, ACK represents acknowledgement, and NACK represents negative acknowledgement. 10. The radio access device of claim 9, wherein the feedback signal of the three carriers is transmitted through a High Speed-Dedicated Physical Control Channel (HS-DPCCH). 11. The radio access device of claim 9, further comprising: a decoder, configured to select a decode space to decode the feedback signal of the three carriers according to a sending mode. 12. The radio access device of claim 11, wherein the sending mode is as follows: Carrier 1 Carrier 2 Carrier 3 Mode 1 On Off Off Mode 2 Off On Off Mode 3 Off Off On Mode 4 On On Off Mode 5 On Off On Mode 6 Off On On Mode 7 On On On wherein “On” indicates that data is sent on a carrier, and “Off” indicates that data is not sent on a carrier or a carrier is deactivated."," BACKGROUND In a physical layer hybrid automatic repeat request (HARQ) procedure, a User Equipment (UE) monitors a High Speed-Shared Control Channel (HS-SCCH). If no data is received, the UE has no action, which can be understood that: the UE does not transmit information to a base station (a Node B), and in this case, feedback information acquired by the Node B is Discontinuous Transmission (DTX) information. If data is received, data on a High Speed-Downlink Shared Channel (HS-DSCH) is detected according to control channel information. If the received data is correct, acknowledgement (ACK) information is transmitted to the Node B; if the received data is incorrect, Negative acknowledgement (NACK) information is transmitted to the Node B. The DTX, ACK, and NACK information are uniformly referred to as hybrid automatic repeat request-acknowledgement (HARQ-ACK) information. After being encoded, the HARQ-ACK information is further transmitted to the Node B through an uplink High Speed-Dedicated Physical Control Channel (HS-DPCCH). The Node B receives and translates the feedback information. If the feedback information is ACK, new data is transmitted; if the feedback information is NACK, the data is re-transmitted; if the feedback information is DTX, the new data is re-transmitted. In the Third Generation Partnership Project (3GPP) standards, a Dual Carrier-High Speed Downlink Packet Access (DC-HSDPA) technology is introduced for improving user experience. Based on the technology, several HARQ-ACK encoding solutions are provided in the prior art, and are specifically illustrated as follows. In the Release 5 (R5) version of 3GPP TS25.212, a single-carrier encoding solution is provided. In this case, a total of three feedback signals are required to be transmitted, namely, ACK, NACK, and DTX, in which ACK and NACK are required to use codewords, as shown in Table 1-1: TABLE 1-1 Single-Carrier HARQ-ACK Encoding Solution ACK 1 1 1 1 1 1 1 1 1 1 NACK 0 0 0 0 0 0 0 0 0 0 In the Release 8 (R8) version of the 3GPP TS25.212, a dual-carrier encoding solution is provided, and the solution requires nine feedback signals, in which eight codewords are used (DTX does not use any codeword), as shown in Table 1-2: TABLE 1-2 Dual-Carrier HARQ-ACK Encoding Solution The UE only detects data block on a primary carrier. ACK 1 1 1 1 1 1 1 1 1 1 NACK 0 0 0 0 0 0 0 0 0 0 The UE only detects a data block on an secondary carrier. ACK 1 1 1 1 1 0 0 0 0 0 NACK 0 0 0 0 0 1 1 1 1 1 The UE detects data blocks on both of primary and secondary carriers. Primary carrier Secondary carrier feedback signal feedback signal ACK ACK 1 0 1 0 1 0 1 0 1 0 ACK NACK 1 1 0 0 1 1 0 0 1 1 NACK ACK 0 0 1 1 0 0 1 1 0 0 NACK NACK 0 1 0 1 0 1 0 1 0 1 Currently, researches about Ternary Cell (TC) technologies have not been started yet, and the inventors find by studying the prior art that: if the prior art is adopted to solve the feedback problem in TC, the most direct method is to adopt three code channels, each carrier uses one code channel, and then the encoding solution as shown in Table 1-1 is adopted; or two code channels are adopted. One carrier uses the encoding solution as shown in Table 1-1, and the other two carriers use the encoding solution as shown in Table 1-2. Disadvantages of the two methods lie in that, excessive power is required to be consumed, the generally consumed power is 2 to 3 times of that for the single carrier, and a system Cubic Metric (CM) value is increased, thus affecting the system performance."," SUMMARY The embodiments provide methods and apparatuses for encoding feedback signals to implement that feedback signals of three carriers are encoded with a single code channel. An embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on an uplink HS-DPCCH, in which the encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords G1 to G16 and H1 to H10, in which code distance relationships of the codewords in the codebook are as shown in Table 1-3: TABLE 1-3 G1 G2 G3 G4 G5 G6 G7 G8 G9 G10 G11 G12 G13 G14 G15 G16 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 G1 0 6 6 6 6 4 6 4 6 4 6 6 6 4 4 4 10 4 4 4 4 6 4 6 4 6 G2 6 0 6 6 6 4 4 6 4 6 6 6 4 6 4 4 4 10 4 4 4 6 6 4 6 4 G3 6 6 0 6 4 6 6 4 4 6 6 6 4 4 6 4 4 4 10 4 6 4 4 6 6 4 G4 6 6 6 0 4 6 4 6 6 4 6 6 4 4 4 6 4 4 4 10 6 4 6 4 4 6 G5 6 6 4 4 0 4 6 6 6 6 6 4 6 6 4 4 4 4 6 6 10 6 4 4 4 4 G6 4 4 6 6 4 0 6 6 6 6 6 4 4 4 6 6 6 6 4 4 6 10 4 4 4 4 G7 6 4 6 4 6 6 0 4 6 6 6 4 6 4 6 4 4 6 4 6 4 4 10 6 4 4 G8 4 6 4 6 6 6 4 0 6 6 6 4 4 6 4 6 6 4 6 4 4 4 6 10 4 4 G9 6 4 4 6 6 6 6 6 0 4 6 4 6 4 4 6 4 6 6 4 4 4 4 4 10 6 G10 4 6 6 4 6 6 6 6 4 0 6 4 4 6 6 4 6 4 4 6 4 4 4 4 6 10 G11 6 6 6 6 6 6 6 6 6 6 0 6 6 6 6 6 4 4 4 4 4 4 4 4 4 4 G12 6 6 6 6 4 4 4 4 4 4 6 0 6 6 6 6 4 4 4 4 6 6 6 6 6 6 G13 6 4 4 4 6 4 6 4 6 4 6 6 0 6 6 6 4 6 6 6 4 6 4 6 4 6 G14 4 6 4 4 6 4 4 6 4 6 6 6 6 0 6 6 6 4 6 6 4 6 6 4 6 4 G15 4 4 6 4 4 6 6 4 4 6 6 6 6 6 0 6 6 6 4 6 6 4 4 6 6 4 G16 4 4 4 6 4 6 4 6 6 4 6 6 6 6 6 0 6 6 6 4 6 4 6 4 4 6 H1 10 4 4 4 4 6 4 6 4 6 4 4 4 6 6 6 0 6 6 6 6 4 6 4 6 4 H2 4 10 4 4 4 6 6 4 6 4 4 4 6 4 6 6 6 0 6 6 6 4 4 6 4 6 H3 4 4 10 4 6 4 4 6 6 4 4 4 6 6 4 6 6 6 0 6 4 6 6 4 4 6 H4 4 4 4 10 6 4 6 4 4 6 4 4 6 6 6 4 6 6 6 0 4 6 4 6 6 4 H5 4 4 6 6 10 6 4 4 4 4 4 6 4 4 6 6 6 6 4 4 0 4 6 6 6 6 H6 6 6 4 4 6 10 4 4 4 4 4 6 6 6 4 4 4 4 6 6 4 0 6 6 6 6 H7 4 6 4 6 4 4 10 6 4 4 4 6 4 6 4 6 6 4 6 4 6 6 0 4 6 6 H8 6 4 6 4 4 4 6 10 4 4 4 6 6 4 6 4 4 6 4 6 6 6 4 0 6 6 H9 4 6 6 4 4 4 4 4 10 6 4 6 4 6 6 4 6 4 4 6 6 6 6 6 0 4 H10 6 4 4 6 4 4 4 4 6 10 4 6 6 4 4 6 4 6 6 4 6 6 6 6 4 0 A value in Table 1-3 represents a code distance between two codewords. Another embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on an uplink HS-DPCCH. The encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, and D1 to D6. Code distance relationships of the codewords in the codebook are as shown in Table 1-4: TABLE 1-4 A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 where a value in Table 1-4 represents a code distance between corresponding codewords. Further another embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers; and transmitting a bit sequence encoded and output on an uplink HS-DPCCH. The encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, in which code distance relationships of the codewords in the codebook are as shown in Table 1-5: TABLE 1-5 A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 E1 F1 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 6 4 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 6 4 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 6 4 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 4 6 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 4 6 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 6 4 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 6 4 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 6 4 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 7 3 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 7 3 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 3 7 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 7 3 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 3 7 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 3 7 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 3 7 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 3 7 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 7 3 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 3 7 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 7 3 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 7 3 E1 6 6 6 4 4 4 4 4 4 6 6 6 7 7 3 7 3 3 3 3 7 3 7 7 0 10 F1 4 4 4 6 6 6 6 6 6 4 4 4 3 3 7 3 7 7 7 7 3 7 3 3 10 0 where a value in Table 1-5 represents a code distance between two codewords. Further another embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on an uplink HS-DPCCH, in which the encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, in which code distance relationships of the codewords in the codebook are as shown in Table 1-6: TABLE 1-6 A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 E2 F2 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 7 3 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 3 7 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 7 3 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 7 3 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 7 3 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 6 4 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 2 8 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 6 4 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 6 4 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 4 6 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 6 4 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 4 6 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 8 2 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 4 6 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 4 6 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 6 4 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 4 6 E2 7 5 5 3 7 3 3 5 5 7 3 7 6 2 6 6 4 6 4 8 4 4 6 4 0 10 F2 3 5 5 7 3 7 7 5 5 3 7 3 4 8 4 4 6 4 6 2 6 6 4 6 10 0 where a value in Table 1-6 represents a code distance between two codewords. Further another embodiment provides an apparatus for encoding feedback signal. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence encoded on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codewords selected from a codebook, in which the codebook comprises codewords G1 to G16 and H1 to H10, and code distance relationships of the codewords in the codebook are as shown in Table 1-3. Further another embodiment provides an apparatus for encoding feedback signal. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, and D1 to D6, and code distance relationships of the codewords in the codebook are as shown in Table 1-4. Further another embodiment provides an apparatus for encoding feedback signals. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, and code distance relationships of the codewords in the codebook are as shown in Table 1-5. Further another embodiment provides an apparatus for encoding feedback signals. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, in which code distance relationships of the codewords in the codebook are as shown in Table 1-6. The embodiments provide methods for jointly encoding feedback signals of three carriers in TC mode. In the embodiments, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value.","This application is a continuation of U.S. patent application Ser. No. 13/951,898, filed on Jul. 26, 2013, which is a continuation of U.S. patent application Ser. No. 13/235,091, filed on Sep. 16, 2011, now U.S. Pat. No. 8,526,530, which is a continuation of International Application No. PCT/CN2009/070846, filed on Mar. 17, 2009. The afore-mentioned patent applications are hereby incorporated by reference in their entireties. TECHNICAL FIELD The present invention relates to the field of communication technologies, and in particular, to a method and apparatus for encoding feedback signals. BACKGROUND In a physical layer hybrid automatic repeat request (HARQ) procedure, a User Equipment (UE) monitors a High Speed-Shared Control Channel (HS-SCCH). If no data is received, the UE has no action, which can be understood that: the UE does not transmit information to a base station (a Node B), and in this case, feedback information acquired by the Node B is Discontinuous Transmission (DTX) information. If data is received, data on a High Speed-Downlink Shared Channel (HS-DSCH) is detected according to control channel information. If the received data is correct, acknowledgement (ACK) information is transmitted to the Node B; if the received data is incorrect, Negative acknowledgement (NACK) information is transmitted to the Node B. The DTX, ACK, and NACK information are uniformly referred to as hybrid automatic repeat request-acknowledgement (HARQ-ACK) information. After being encoded, the HARQ-ACK information is further transmitted to the Node B through an uplink High Speed-Dedicated Physical Control Channel (HS-DPCCH). The Node B receives and translates the feedback information. If the feedback information is ACK, new data is transmitted; if the feedback information is NACK, the data is re-transmitted; if the feedback information is DTX, the new data is re-transmitted. In the Third Generation Partnership Project (3GPP) standards, a Dual Carrier-High Speed Downlink Packet Access (DC-HSDPA) technology is introduced for improving user experience. Based on the technology, several HARQ-ACK encoding solutions are provided in the prior art, and are specifically illustrated as follows. In the Release 5 (R5) version of 3GPP TS25.212, a single-carrier encoding solution is provided. In this case, a total of three feedback signals are required to be transmitted, namely, ACK, NACK, and DTX, in which ACK and NACK are required to use codewords, as shown in Table 1-1: TABLE 1-1 Single-Carrier HARQ-ACK Encoding Solution ACK 1 1 1 1 1 1 1 1 1 1 NACK 0 0 0 0 0 0 0 0 0 0 In the Release 8 (R8) version of the 3GPP TS25.212, a dual-carrier encoding solution is provided, and the solution requires nine feedback signals, in which eight codewords are used (DTX does not use any codeword), as shown in Table 1-2: TABLE 1-2 Dual-Carrier HARQ-ACK Encoding Solution The UE only detects data block on a primary carrier. ACK 1 1 1 1 1 1 1 1 1 1 NACK 0 0 0 0 0 0 0 0 0 0 The UE only detects a data block on an secondary carrier. ACK 1 1 1 1 1 0 0 0 0 0 NACK 0 0 0 0 0 1 1 1 1 1 The UE detects data blocks on both of primary and secondary carriers. Primary carrier Secondary carrier feedback signal feedback signal ACK ACK 1 0 1 0 1 0 1 0 1 0 ACK NACK 1 1 0 0 1 1 0 0 1 1 NACK ACK 0 0 1 1 0 0 1 1 0 0 NACK NACK 0 1 0 1 0 1 0 1 0 1 Currently, researches about Ternary Cell (TC) technologies have not been started yet, and the inventors find by studying the prior art that: if the prior art is adopted to solve the feedback problem in TC, the most direct method is to adopt three code channels, each carrier uses one code channel, and then the encoding solution as shown in Table 1-1 is adopted; or two code channels are adopted. One carrier uses the encoding solution as shown in Table 1-1, and the other two carriers use the encoding solution as shown in Table 1-2. Disadvantages of the two methods lie in that, excessive power is required to be consumed, the generally consumed power is 2 to 3 times of that for the single carrier, and a system Cubic Metric (CM) value is increased, thus affecting the system performance. SUMMARY The embodiments provide methods and apparatuses for encoding feedback signals to implement that feedback signals of three carriers are encoded with a single code channel. An embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on an uplink HS-DPCCH, in which the encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords G1 to G16 and H1 to H10, in which code distance relationships of the codewords in the codebook are as shown in Table 1-3: TABLE 1-3 G1 G2 G3 G4 G5 G6 G7 G8 G9 G10 G11 G12 G13 G14 G15 G16 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 G1 0 6 6 6 6 4 6 4 6 4 6 6 6 4 4 4 10 4 4 4 4 6 4 6 4 6 G2 6 0 6 6 6 4 4 6 4 6 6 6 4 6 4 4 4 10 4 4 4 6 6 4 6 4 G3 6 6 0 6 4 6 6 4 4 6 6 6 4 4 6 4 4 4 10 4 6 4 4 6 6 4 G4 6 6 6 0 4 6 4 6 6 4 6 6 4 4 4 6 4 4 4 10 6 4 6 4 4 6 G5 6 6 4 4 0 4 6 6 6 6 6 4 6 6 4 4 4 4 6 6 10 6 4 4 4 4 G6 4 4 6 6 4 0 6 6 6 6 6 4 4 4 6 6 6 6 4 4 6 10 4 4 4 4 G7 6 4 6 4 6 6 0 4 6 6 6 4 6 4 6 4 4 6 4 6 4 4 10 6 4 4 G8 4 6 4 6 6 6 4 0 6 6 6 4 4 6 4 6 6 4 6 4 4 4 6 10 4 4 G9 6 4 4 6 6 6 6 6 0 4 6 4 6 4 4 6 4 6 6 4 4 4 4 4 10 6 G10 4 6 6 4 6 6 6 6 4 0 6 4 4 6 6 4 6 4 4 6 4 4 4 4 6 10 G11 6 6 6 6 6 6 6 6 6 6 0 6 6 6 6 6 4 4 4 4 4 4 4 4 4 4 G12 6 6 6 6 4 4 4 4 4 4 6 0 6 6 6 6 4 4 4 4 6 6 6 6 6 6 G13 6 4 4 4 6 4 6 4 6 4 6 6 0 6 6 6 4 6 6 6 4 6 4 6 4 6 G14 4 6 4 4 6 4 4 6 4 6 6 6 6 0 6 6 6 4 6 6 4 6 6 4 6 4 G15 4 4 6 4 4 6 6 4 4 6 6 6 6 6 0 6 6 6 4 6 6 4 4 6 6 4 G16 4 4 4 6 4 6 4 6 6 4 6 6 6 6 6 0 6 6 6 4 6 4 6 4 4 6 H1 10 4 4 4 4 6 4 6 4 6 4 4 4 6 6 6 0 6 6 6 6 4 6 4 6 4 H2 4 10 4 4 4 6 6 4 6 4 4 4 6 4 6 6 6 0 6 6 6 4 4 6 4 6 H3 4 4 10 4 6 4 4 6 6 4 4 4 6 6 4 6 6 6 0 6 4 6 6 4 4 6 H4 4 4 4 10 6 4 6 4 4 6 4 4 6 6 6 4 6 6 6 0 4 6 4 6 6 4 H5 4 4 6 6 10 6 4 4 4 4 4 6 4 4 6 6 6 6 4 4 0 4 6 6 6 6 H6 6 6 4 4 6 10 4 4 4 4 4 6 6 6 4 4 4 4 6 6 4 0 6 6 6 6 H7 4 6 4 6 4 4 10 6 4 4 4 6 4 6 4 6 6 4 6 4 6 6 0 4 6 6 H8 6 4 6 4 4 4 6 10 4 4 4 6 6 4 6 4 4 6 4 6 6 6 4 0 6 6 H9 4 6 6 4 4 4 4 4 10 6 4 6 4 6 6 4 6 4 4 6 6 6 6 6 0 4 H10 6 4 4 6 4 4 4 4 6 10 4 6 6 4 4 6 4 6 6 4 6 6 6 6 4 0 A value in Table 1-3 represents a code distance between two codewords. Another embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on an uplink HS-DPCCH. The encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, and D1 to D6. Code distance relationships of the codewords in the codebook are as shown in Table 1-4: TABLE 1-4 A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 where a value in Table 1-4 represents a code distance between corresponding codewords. Further another embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers; and transmitting a bit sequence encoded and output on an uplink HS-DPCCH. The encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, in which code distance relationships of the codewords in the codebook are as shown in Table 1-5: TABLE 1-5 A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 E1 F1 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 6 4 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 6 4 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 6 4 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 4 6 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 4 6 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 4 6 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 6 4 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 6 4 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 6 4 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 7 3 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 7 3 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 3 7 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 7 3 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 3 7 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 3 7 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 3 7 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 3 7 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 7 3 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 3 7 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 7 3 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 7 3 E1 6 6 6 4 4 4 4 4 4 6 6 6 7 7 3 7 3 3 3 3 7 3 7 7 0 10 F1 4 4 4 6 6 6 6 6 6 4 4 4 3 3 7 3 7 7 7 7 3 7 3 3 10 0 where a value in Table 1-5 represents a code distance between two codewords. Further another embodiment provides a method for encoding feedback signals. The method may include: encoding feedback signals of three carriers to output a bit sequence; and transmitting the bit sequence on an uplink HS-DPCCH, in which the encoding the feedback signals of the three carriers includes: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, in which code distance relationships of the codewords in the codebook are as shown in Table 1-6: TABLE 1-6 A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 E2 F2 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 7 3 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 3 7 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 7 3 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 7 3 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 7 3 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 6 4 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 2 8 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 6 4 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 6 4 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 4 6 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 6 4 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 4 6 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 8 2 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 4 6 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 4 6 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 6 4 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 4 6 E2 7 5 5 3 7 3 3 5 5 7 3 7 6 2 6 6 4 6 4 8 4 4 6 4 0 10 F2 3 5 5 7 3 7 7 5 5 3 7 3 4 8 4 4 6 4 6 2 6 6 4 6 10 0 where a value in Table 1-6 represents a code distance between two codewords. Further another embodiment provides an apparatus for encoding feedback signal. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence encoded on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codewords selected from a codebook, in which the codebook comprises codewords G1 to G16 and H1 to H10, and code distance relationships of the codewords in the codebook are as shown in Table 1-3. Further another embodiment provides an apparatus for encoding feedback signal. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, and D1 to D6, and code distance relationships of the codewords in the codebook are as shown in Table 1-4. Further another embodiment provides an apparatus for encoding feedback signals. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, and code distance relationships of the codewords in the codebook are as shown in Table 1-5. Further another embodiment provides an apparatus for encoding feedback signals. The apparatus may include: an encoder, configured to encode feedback signals of three carriers to output a bit sequence; and a transmitter, configured to transmit the bit sequence on an uplink HS-DPCCH. The encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook, in which the codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1, in which code distance relationships of the codewords in the codebook are as shown in Table 1-6. The embodiments provide methods for jointly encoding feedback signals of three carriers in TC mode. In the embodiments, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. BRIEF DESCRIPTION OF THE DRAWINGS For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which: FIG. 1 is a schematic structure of a HARQ-ACK joint encoder in TC mode according to an embodiment; FIG. 2 is a flow chart of a method for encoding feedback signals according to another embodiment; and FIG. 3 is a schematic structure of an apparatus for encoding feedback signals according to further another embodiment. DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS FIG. 1 is a schematic structure of a HARQ-ACK joint encoder in TC mode according to an embodiment. In TC mode, a Node B transmits data to a UE on at most three carriers simultaneously, and after receiving at most three data blocks, the UE is required to transmit feedback for receiving the data each, in which feedback information includes DTX, ACK, and NACK. The UE synthesizes the feedback information of the three carriers, namely, encodes the feedback information into a 10-bit 0-1 sequence, and transmit to the Node B through a HS-DPCCH. The Node B selects a decode space to decode the feedback information according to the sending mode. As shown in FIG. 1, the input signals of the joint encoder are feedback signals for a UE receiving data, i, j, and k are feedback signals for receiving data from three carriers. Values of i, j, and k may be DTX, ACK, or NACK. The output signal of the joint encoder is a 10-bit 0-1 sequence, represented with Xijk. Functions of the joint encoder are that the UE encodes feedback signals of at most three carriers, and transmits the outputted bit sequence on a HS-DPCCH. When the Node B applies three carriers to send data, seven data sending modes exist with reference to Table 1-7. TABLE 1-7 Data Sending Mode With TC Carrier 1 Carrier 2 Carrier 3 Mode 1 On Off Off Mode 2 Off On Off Mode 3 Off Off On Mode 4 On On Off Mode 5 On Off On Mode 6 Off On On Mode 7 On On On In Table 1-7, “On” indicates that data is sent on the carrier, and “Off” indicates that data is not sent on the carrier or the carrier is deactivated. Each of the sending modes corresponds to a decoding space, with reference to Table 1-8. After receiving the encoded feedback signals of the UE, the Node B may select a decoding space according to a sending mode, and decode the feedback signals in the decoding space. TABLE 1-8 Relationship Between Sending Modes And Decoding Spaces Sending Mode Decoding Space Mode 1 DTX, N-D-D, A-D-D Mode 2 DTX, D-N-D, D-A-D Mode 3 DTX, D-D-N, D-D-A Mode 4 DTX, D-N-D, D-A-D, N-D-D, A-D-D, N-N-D, A-N-D, N-A-D, A-A-D Mode 5 DTX, N-D-D, A-D-D, D-D-N, D-D-A, N-D-N, N-D-A, A-D-N, A-D-A Mode 6 DTX, D-N-D, D-A-D, D-D-N, D-D-A, D-N-N, D-N-A, D-A-N, D-A-A Mode 7 DTX, D-N-D, D-A-D, N-D-D, A-D-D, N-N-D, A-N-D, N-A-D, A-A-D, D-D-N, D-D-A, N-D-N, N-D-A, A-D-N, A-D-A, D-N-N, D-N-A, D-A-N, D-A-A, N-N-N, N-N-A, N-A-N, N-A-A, A-N-N, A-N-A, A-A-N, A-A-A In Table 1-8, for example, a feedback signal N-D-A is an abbreviation of NACK-DTX-ACK, which indicates that feedback information of Carrier 1 is NACK, feedback information of Carrier 2 is DTX, and feedback information of Carrier 3 is ACK. Other feedback signals are similar to this. Embodiment 1 of a Method for Encoding Feedback Signals FIG. 2 is a flow chart of a method for encoding feedback signals according to an embodiment. As shown in FIG. 2, the method includes the following steps. Step 101: encode feedback signals of three carriers to output a bit sequence. Step 102: transmit the bit sequence on a HS-DPCCH. The Step 101 may specifically include mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook satisfies a particular code distance relationship, which may be acquired through computer searching or by using other methods. Under a condition that a certain requirement (such as compatibility) is satisfied, a principle of selecting a codebook is that the smallest code distance is maximized, and the number of the smallest code distances is minimized. The codebook of this embodiment includes 26 codewords in total, and these codewords are selected from the codebook comprising codewords G1 to G16 and H1 to H10. For code distance relationships between codewords, reference can be made to Table 1-9. TABLE 1-9 Code Distance Relationships Between Codewords G1 G2 G3 G4 G5 G6 G7 G8 G9 G10 G11 G12 G13 G14 G15 G16 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 G1 0 6 6 6 6 4 6 4 6 4 6 6 6 4 4 4 10 4 4 4 4 6 4 6 4 6 G2 6 0 6 6 6 4 4 6 4 6 6 6 4 6 4 4 4 10 4 4 4 6 6 4 6 4 G3 6 6 0 6 4 6 6 4 4 6 6 6 4 4 6 4 4 4 10 4 6 4 4 6 6 4 G4 6 6 6 0 4 6 4 6 6 4 6 6 4 4 4 6 4 4 4 10 6 4 6 4 4 6 G5 6 6 4 4 0 4 6 6 6 6 6 4 6 6 4 4 4 4 6 6 10 6 4 4 4 4 G6 4 4 6 6 4 0 6 6 6 6 6 4 4 4 6 6 6 6 4 4 6 10 4 4 4 4 G7 6 4 6 4 6 6 0 4 6 6 6 4 6 4 6 4 4 6 4 6 4 4 10 6 4 4 G8 4 6 4 6 6 6 4 0 6 6 6 4 4 6 4 6 6 4 6 4 4 4 6 10 4 4 G9 6 4 4 6 6 6 6 6 0 4 6 4 6 4 4 6 4 6 6 4 4 4 4 4 10 6 G10 4 6 6 4 6 6 6 6 4 0 6 4 4 6 6 4 6 4 4 6 4 4 4 4 6 10 G11 6 6 6 6 6 6 6 6 6 6 0 6 6 6 6 6 4 4 4 4 4 4 4 4 4 4 G12 6 6 6 6 4 4 4 4 4 4 6 0 6 6 6 6 4 4 4 4 6 6 6 6 6 6 G13 6 4 4 4 6 4 6 4 6 4 6 6 0 6 6 6 4 6 6 6 4 6 4 6 4 6 G14 4 6 4 4 6 4 4 6 4 6 6 6 6 0 6 6 6 4 6 6 4 6 6 4 6 4 G15 4 4 6 4 4 6 6 4 4 6 6 6 6 6 0 6 6 6 4 6 6 4 4 6 6 4 G16 4 4 4 6 4 6 4 6 6 4 6 6 6 6 6 0 6 6 6 4 6 4 6 4 4 6 H1 10 4 4 4 4 6 4 6 4 6 4 4 4 6 6 6 0 6 6 6 6 4 6 4 6 4 H2 4 10 4 4 4 6 6 4 6 4 4 4 6 4 6 6 6 0 6 6 6 4 4 6 4 6 H3 4 4 10 4 6 4 4 6 6 4 4 4 6 6 4 6 6 6 0 6 4 6 6 4 4 6 H4 4 4 4 10 6 4 6 4 4 6 4 4 6 6 6 4 6 6 6 0 4 6 4 6 6 4 H5 4 4 6 6 10 6 4 4 4 4 4 6 4 4 6 6 6 6 4 4 0 4 6 6 6 6 H6 6 6 4 4 6 10 4 4 4 4 4 6 6 6 4 4 4 4 6 6 4 0 6 6 6 6 H7 4 6 4 6 4 4 10 6 4 4 4 6 4 6 4 6 6 4 6 4 6 6 0 4 6 6 H8 6 4 6 4 4 4 6 10 4 4 4 6 6 4 6 4 4 6 4 6 6 6 4 0 6 6 H9 4 6 6 4 4 4 4 4 10 6 4 6 4 6 6 4 6 4 4 6 6 6 6 6 0 4 H10 6 4 4 6 4 4 4 4 6 10 4 6 6 4 4 6 4 6 6 4 6 6 6 6 4 0 A value in Table 1-9 represents a code distance between two codewords, for example, the code distance between G1 and G2 is 6, the code distance between G1 and G6 is 4, and so on. Further, in step 101, for mapping the feedback signals into a codeword selected from the codebook, reference can be made to Table 1-10. TABLE 1-10 Mapping Solution Between Feedback Signals And Codewords Feedback Feedback signal of signal of Feedback signal of Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * G8 H8 NACK H3 H7 H9 ACK G3 G4 H6 NACK DTX H1 G6 G10 NACK G2 H2 G16 ACK G12 G15 G5 ACK DTX G1 G14 G7 NACK H4 H5 G11 ACK H10 G9 G13 It can be seen from Table 1-10 that, in this embodiment, the feedback signal D-N-D is mapped into G8; the feedback signal D-A-D is mapped into H8; the feedback signal N-D-D is mapped into H3; the feedback signal N-N-D is mapped into H7; the feedback signal N-A-D is mapped into H9; the feedback signal A-D-D is mapped into G3; the feedback signal A-N-D is mapped into G4; the feedback signal A-A-D is mapped into H6; the feedback signal D-D-N is mapped into H1; the feedback signal D-N-N is mapped into G6; the feedback signal D-A-N is mapped into G10; the feedback signal N-D-N is mapped into G2; the feedback signal N-N-N is mapped into H2; the feedback signal N-A-N is mapped into G16; the feedback signal A-D-N is mapped into G12; the feedback signal A-N-N is mapped into G15; the feedback signal A-A-N is mapped into G5; the feedback signal D-D-A is mapped into G1; the feedback signal D-N-A is mapped into G14; the feedback signal D-A-A is mapped into G7; the feedback signal N-D-A is mapped into H4; the feedback signal N-N-A is mapped into H5; the feedback signal N-A-A is mapped into G11; the feedback signal A-D-A is mapped into H10; the feedback signal A-N-A is mapped into G9; and the feedback signal A-A-A is mapped into G13. Still further, in this embodiment, codeword values, namely bit sequences, corresponding to each codeword are provided, and mapping relationships between codewords and bit sequences may be referred to Table 1-11. As can be seen from Table 1-11, the codebook comprises 26 codeword values with the smallest code distance of 4. TABLE 1-11 Mapping Relationships Between Codewords And Bit Sequences Codeword Bit sequence G1 1 0 0 0 1 0 1 0 1 0 G2 0 0 1 1 1 0 0 0 0 1 G3 1 1 1 1 1 1 1 1 1 1 G4 1 0 1 0 0 1 0 1 0 0 G5 1 1 1 1 0 0 0 1 1 0 G6 1 1 1 0 0 1 1 0 0 1 G7 0 0 1 0 0 1 0 0 1 1 G8 0 0 1 1 0 0 1 1 0 0 G9 0 1 1 0 1 0 1 1 0 1 G10 0 1 1 1 1 1 0 0 1 0 G11 0 1 0 1 0 1 1 0 0 0 G12 0 1 0 0 0 0 0 1 1 1 G13 1 0 0 1 1 1 0 1 0 1 G14 0 0 1 0 1 1 1 1 1 0 G15 1 1 1 0 1 0 0 0 0 0 G16 1 0 1 1 0 0 1 0 1 1 H1 0 1 1 1 0 1 0 1 0 1 H2 1 1 0 0 0 1 1 1 1 0 H3 0 0 0 0 0 0 0 0 0 0 H4 0 1 0 1 1 0 1 0 1 1 H5 0 0 0 0 1 1 1 0 0 1 H6 0 0 0 1 1 0 0 1 1 0 H7 1 1 0 1 1 0 1 1 0 0 H8 1 1 0 0 1 1 0 0 1 1 H9 1 0 0 1 0 1 0 0 1 0 H10 1 0 0 0 0 0 1 1 0 1 Table 1-11 is a specific example. The present invention is not limited to merely the mapping relationships shown in Table 1-11, and those mapping relationships obtained by performing simple transformation on the basis of Table 1-11 also falls within the scope of the present invention, such as random changing of a sequence between columns on the basis of Table 1-11, or negation of a certain column value. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead, increases system capacity, and improves system performance, but does not affect CM value. Furthermore, in this embodiment, codebook satisfying a particular code distance relationship is selected, and a mapping solution between feedback signals and codewords is provided, so that signal error detection costs (including Radio Link Control (RLC) re-transmission cost and physical layer re-transmission cost) are minimized, thus improving data transmission efficiency. Embodiment 2 of a Method for Encoding Feedback Signals The method of this embodiment includes: encoding feedback signals of three carriers to output a bit sequence, and transmitting the bit sequence on a HS-DPCCH. The encoding the feedback signals of the three carriers may specifically include: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook satisfies a particular code distance relationship, which may be acquired through computer searching or by using other methods. Under a condition that a certain requirement (such as compatibility) is satisfied, a principle of selecting a codebook is that the smallest code distance is maximized, and the number of the smallest code distances is minimized. Specifically, the codebook in this embodiment includes 24 codewords in total, and these codewords are selected from the codebook comprising codewords A1 to A6, B1 to B6, C1 to C6, and D1 to D6. For code distance relationships between the codewords, reference can be made to Table 1-12. TABLE 1-12 Code Distance Relationships Between Codewords A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 A value in Table 1-12 represents a code distance between two codewords, for example, the code distance between A1 and A1 is 0, the code distance between A1 and A2 is 6, the code distance between A1 and B1 is 10, and so on. Further, for the mapping a feedback signal into a codeword selected from the codebook, reference can be made to Table 1-13. TABLE 1-13 Mapping Solution Between Feedback Signals And Codewords Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * D1 C1 NACK B1 C2 A2 ACK A1 B2 D2 NACK DTX A3 C2 C5 NACK D6 C2 A5 ACK D4 A4 B6 ACK DTX B3 C3 C4 NACK D5 A6 B4 ACK D3 B5 C6 It can be seen from Table 1-13 that, in this embodiment, the feedback signal D-N-D is mapped into D1; the feedback signal D-A-D is mapped into C1; the feedback signal N-D-D is mapped into B1; the feedback signal N-N-D is mapped into C2; the feedback signal N-A-D is mapped into A2; the feedback signal A-D-D is mapped into A1; the feedback signal A-N-D is mapped into B2; the feedback signal A-A-D is mapped into D2; the feedback signal D-D-N is mapped into A3; the feedback signal D-N-N is mapped into C2; the feedback signal D-A-N is mapped into C5; the feedback signal N-D-N is mapped into D6; the feedback signal N-N-N is mapped into C2; the feedback signal N-A-N is mapped into A5; the feedback signal A-D-N is mapped into D4; the feedback signal A-N-N is mapped into A4; the feedback signal A-A-N is mapped into B6; the feedback signal D-D-A is mapped into B3; the feedback signal D-N-A is mapped into C3; the feedback signal D-A-A is mapped into C4; the feedback signal N-D-A is mapped into D5; the feedback signal N-N-A is mapped into A6; the feedback signal N-A-A is mapped into B4; the feedback signal A-D-A is mapped into D3; the feedback signal A-N-A is mapped into B5; and the feedback signal A-A-A is mapped into C6. Referring to Table 1-13, in this embodiment, some feedback signals are encoded into the same codeword, for example, the feedback signals N-N-D, D-N-N, and N-N-N are all encoded into C2. During decoding procedure, the Node B may select a decoding space according to a sending mode, and decodes the feedback signals in the decoding space, so that when the sending mode is Modes 1 to 6, a codeword transmitted in this embodiment is capable of being correctly decoded; when the sending mode is Mode 7, and the Node B decodes a feedback signal to obtain a codeword C2, it is decided that the feedback signal is N-N-N. Still further, in this embodiment, codeword values, namely bit sequences, corresponding to each codeword are provided, and mapping relationships between codewords and bit sequences may be referred to Table 1-14. As can be seen from Table 1-14, the codebook comprises 24 codeword values. TABLE 1-14 Mapping Relationships Between Codewords And Bit Sequences Codeword Bit sequence A1 1 1 1 1 1 1 1 1 1 1 A2 0 0 1 1 0 0 1 1 0 0 A3 1 1 0 0 0 1 1 0 0 0 A4 1 0 0 1 0 0 0 0 1 1 A5 0 1 0 0 1 0 0 1 1 0 A6 0 0 1 0 1 1 0 0 0 1 B1 0 0 0 0 0 0 0 0 0 0 B2 1 1 0 0 1 1 0 0 1 1 B3 0 0 1 1 1 0 0 1 1 1 B4 0 1 1 0 1 1 1 1 0 0 B5 1 0 1 1 0 1 1 0 0 1 B6 1 1 0 1 0 0 1 1 1 0 C1 1 1 1 1 1 0 0 0 0 0 C2 0 1 0 1 0 1 0 1 0 1 C3 0 1 1 0 0 0 1 0 1 1 C4 1 0 1 0 0 1 0 1 1 0 C5 0 0 0 1 1 1 1 0 1 0 C6 1 0 0 0 1 0 1 1 0 1 D1 0 0 0 0 0 1 1 1 1 1 D2 1 0 1 0 1 0 1 0 1 0 D3 1 0 0 1 1 1 0 1 0 0 D4 0 1 0 1 1 0 1 0 0 1 D5 1 1 1 0 0 0 0 1 0 1 D6 0 1 1 1 0 1 0 0 1 0 Table 1-14 is a specific example. The present invention is not limited to merely the mapping relationships shown in Table 1-14, and those mapping relationships obtained by performing simple transformation on the basis of Table 1-14 also falls within the scope of the present invention, such as random changing of a sequence between columns on the basis of Table 1-14, or negation of a certain column value. In this embodiment, 26 feedback signals are encoded with 24 codewords, and when the sending mode is Mode 7, a decoding error may occur to the Node B, for example, the feedback signal N-N-D or D-N-N of the UE is decoded into N-N-N, such that a bit error rate is affected. However, since fewer codewords are adopted, the entire system performance can be improved. In a scenario of a higher requirement of the system performance, this embodiment has good applicability. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 3 of a Method for Encoding Feedback Signals A difference between this embodiment and Embodiment 2 lies in a mapping solution between feedback signals and codewords. For the mapping solution of this embodiment, reference can be made to Table 1-15. It can be seen from Table 1-15 that, in this embodiment, the feedback signal D-N-D is mapped into D1; the feedback signal D-A-D is mapped into C1; the feedback signal N-D-D is mapped into B1; the feedback signal N-N-D is mapped into C2; the feedback signal N-A-D is mapped into A2; the feedback signal A-D-D is mapped into A1; the feedback signal A-N-D is mapped into B2; the feedback signal A-A-D is mapped into D2; the feedback signal D-D-N is mapped into A3; the feedback signal D-N-N is mapped into C5; the feedback signal D-A-N is mapped into C4; the feedback signal N-D-N is mapped into C2; the feedback signal N-N-N is mapped into C2; the feedback signal N-A-N is mapped into A5; the feedback signal A-D-N is mapped into D3; the feedback signal A-N-N is mapped into A4; the feedback signal A-A-N is mapped into B6; the feedback signal D-D-A is mapped into B3; the feedback signal D-N-A is mapped into B4; the feedback signal D-A-A is mapped into D5; the feedback signal N-D-A is mapped into C3; the feedback signal N-N-A is mapped into D4; the feedback signal N-A-A is mapped into D6; the feedback signal A-D-A is mapped into B5; the feedback signal A-N-A is mapped into A6; and the feedback signal A-A-A is mapped into C6. TABLE 1-15 Mapping Solution Between Feedback Signals And Codewords Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * D1 C1 NACK B1 C2 A2 ACK A1 B2 D2 NACK DTX A3 C5 C4 NACK C2 C2 A5 ACK D3 A4 B6 ACK DTX B3 B4 D5 NACK C3 D4 D6 ACK B5 A6 C6 Code distance relationships between codewords and mapping relationships between codewords and codeword values according to this embodiment may be the same as those in Embodiment 2, with reference to Tables 1-12 and 1-14. Referring to Table 1-15, in this embodiment, also, some feedback signals are encoded into the same codeword, for example, the feedback signals N-N-D, N-D-N, and N-N-N are all encoded into C2. During decoding procedure, the Node B may select a decoding space according to a sending mode, and perform decoding procedure in the decoding space, so that when the sending mode is Modes 1 to 6, a codeword transmitted in this embodiment is capable of being correctly decoded; while when the sending mode is Mode 7, and the Node B decodes a feedback signal to obtain a codeword C2, it is decided that the feedback signal is N-N-N. In this embodiment, 26 feedback signals are encoded with 24 codewords, and when the sending mode is Mode 7, a decoding error may occur to the Node B, for example, the feedback signal N-N-D or N-D-N of the UE is decoded into N-N-N, such that a bit error rate is affected. However, since fewer codewords are adopted, the entire system performance can be improved. In a scenario of a higher requirement of the system performance, this embodiment has good applicability. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 4 of a Method for Encoding Feedback Signals A difference between this embodiment and Embodiment 2 lies in a mapping solution between feedback signals and codewords. For the mapping solution of this embodiment, reference can be made to Table 1-16. TABLE 1-16 Mapping Solution Between Feedback signals And Codewords Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * D1 C1 NACK B1 C2 C5 ACK A1 A4 D3 NACK DTX A3 A2 C4 NACK C2 C2 A5 ACK D3 B2 B6 ACK DTX B3 B4 D4 NACK D4 C3 D6 ACK B5 A6 C6 It can be seen from Table 1-16 that, the feedback signal D-N-D is mapped into D1; the feedback signal D-A-D is mapped into C1; the feedback signal N-D-D is mapped into B1; the feedback signal N-N-D is mapped into C2; the feedback signal N-A-D is mapped into C5; the feedback signal A-D-D is mapped into A1; the feedback signal A-N-D is mapped into A4; the feedback signal A-A-D is mapped into D3; the feedback signal D-D-N is mapped into A3; the feedback signal D-N-N is mapped into A2; the feedback signal D-A-N is mapped into C4; the feedback signal N-D-N is mapped into C2; the feedback signal N-N-N is mapped into C2; the feedback signal N-A-N is mapped into A5; the feedback signal A-D-N is mapped into D3; the feedback signal A-N-N is mapped into B2; the feedback signal A-A-N is mapped into B6; the feedback signal D-D-A is mapped into B3; the feedback signal D-N-A is mapped into B4; the feedback signal D-A-A is mapped into D4; the feedback signal N-D-A is mapped into D4; the feedback signal N-N-A is mapped into C3; the feedback signal N-A-A is mapped into D6; the feedback signal A-D-A is mapped into B5; the feedback signal A-N-A is mapped into A6; and the feedback signal A-A-A is mapped into C6. Code distance relationships between codewords and mapping relationships between codewords and codeword values according to this embodiment may be the same as those in Embodiment 2, with reference to Tables 1-12 and 1-14. Referring to Table 1-16, in this embodiment, also, some feedback signals are encoded with the same codeword, for example, the feedback signals N-N-D, N-D-N, and N-N-N are all encoded into C2. During decoding procedure, the Node B may select a decoding space according to a sending mode, and perform decoding procedure in the decoding space, so that when the sending mode is Modes 1 to 6, a codeword transmitted in this embodiment is capable of being correctly decoded; while when the sending mode is Mode 7, and the Node B decodes a feedback signal to obtain a codeword C2, it is decided that the feedback signal is N-N-N. In this embodiment, 26 feedback signals are encoded with 24 codewords, and when the sending mode is Mode 7, a decoding error may occur to the Node B, for example, the feedback signal N-N-D or N-D-N of the UE is decoded into N-N-N, such that a bit error rate is affected. However, since fewer codewords are adopted, the entire system performance can be improved. In a scenario of a higher requirement of the system performance, this embodiment has good applicability. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 5 of a Method for Encoding Feedback Signals The method of this embodiment includes: encoding feedback signals of three carriers to output a bit sequence, and sending the bit sequence on a HS-DPCCH. The encoding the feedback signals of the three carriers may specifically include: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook satisfies a particular code distance relationship, which may be acquired through computer searching or by using other methods. Under a condition that a certain requirement (such as compatibility) is satisfied, a principle of selecting a codebook is that the smallest code distance is maximized, and the number of the smallest code distances is minimized. Specifically, the codebook selected in this embodiment includes 26 codewords in total, and these codewords are selected from the codebook comprising codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1. For code distance relationships between the codewords, reference can be made to Tables 1-12 and 1-17. TABLE 1-17 Code Distance Relationships Between Codewords A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 E1 F1 E1 6 6 6 4 4 4 4 4 4 6 6 6 7 7 3 7 3 3 3 3 7 3 7 7 0 10 F1 4 4 4 6 6 6 6 6 6 4 4 4 3 3 7 3 7 7 7 7 3 7 3 3 10 0 Further, for the mapping a feedback signal into a codeword selected from the codebook, reference can be made to Table 1-18. TABLE 1-18 Mapping Solution Between Feedback Signals And Codewords Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * D1 C1 NACK B1 C2 A2 ACK A1 B2 D2 NACK DTX B3 E1 C4 NACK D3 F1 A5 ACK D4 A6 B4 ACK DTX A3 C3 D6 NACK C5 C6 D5 ACK B5 A4 B6 It can be seen from Table 1-18 that, in this embodiment, the feedback signal D-N-D is mapped into D1; the feedback signal D-A-D is mapped into C1; the feedback signal N-D-D is mapped into B1; the feedback signal N-N-D is mapped into C2; the feedback signal N-A-D is mapped into A2; the feedback signal A-D-D is mapped into A1; the feedback signal A-N-D is mapped into B2; the feedback signal A-A-D is mapped into D2; the feedback signal D-D-N is mapped into B3; the feedback signal D-N-N is mapped into E1; the feedback signal D-A-N is mapped into C4; the feedback signal N-D-N is mapped into D3; the feedback signal N-N-N is mapped into F1; the feedback signal N-A-N is mapped into A5; the feedback signal A-D-N is mapped into D4; the feedback signal A-N-N is mapped into A6; the feedback signal A-A-N is mapped into B4; the feedback signal D-D-A is mapped into A3; the feedback signal D-N-A is mapped into C3; the feedback signal D-A-A is mapped into D6; the feedback signal N-D-A is mapped into C5; the feedback signal N-N-A is mapped into C6; the feedback signal N-A-A is mapped into D5; the feedback signal A-D-A is mapped into B5; the feedback signal A-N-A is mapped into A4; and the feedback signal A-A-A is mapped into B6. Still further, in this embodiment, codeword values corresponding to each codeword are provided, and the codeword values are bit sequences which may be referred to Table 1-19. As can be seen from Table 1-19, the codebook comprises the 26 codeword values with the smallest code distance of 3. TABLE 1-19 Mapping Relationships Between Codewords And Bit Sequences Codeword Bit sequence A1 1 1 1 1 1 1 1 1 1 1 A2 0 0 1 1 0 0 1 1 0 0 A3 1 1 0 0 0 1 1 0 0 0 A4 1 0 0 1 0 0 0 0 1 1 A5 0 1 0 0 1 0 0 1 1 0 A6 0 0 1 0 1 1 0 0 0 1 B1 0 0 0 0 0 0 0 0 0 0 B2 1 1 0 0 1 1 0 0 1 1 B3 0 0 1 1 1 0 0 1 1 1 B4 0 1 1 0 1 1 1 1 0 0 B5 1 0 1 1 0 1 1 0 0 1 B6 1 1 0 1 0 0 1 1 1 0 C1 1 1 1 1 1 0 0 0 0 0 C2 0 1 0 1 0 1 0 1 0 1 C3 0 1 1 0 0 0 1 0 1 1 C4 1 0 1 0 0 1 0 1 1 0 C5 0 0 0 1 1 1 1 0 1 0 C6 1 0 0 0 1 0 1 1 0 1 D1 0 0 0 0 0 1 1 1 1 1 D2 1 0 1 0 1 0 1 0 1 0 D3 1 0 0 1 1 1 0 1 0 0 D4 0 1 0 1 1 0 1 0 0 1 D5 1 1 1 0 0 0 0 1 0 1 D6 0 1 1 1 0 1 0 0 1 0 E1 0 0 0 0 1 0 1 0 1 1 F1 1 1 1 1 0 1 0 1 0 0 Table 1-19 is a specific example. The present invention is not limited to merely the mapping relationships shown in Table 1-19, and those mapping relationships obtained by performing simple transformation on the basis of Table 1-19 also falls within the scope of the present invention, such as random changing of a sequence between columns on the basis of Table 1-19, or negation of a certain column value. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 6 of a Method for Encoding Feedback Signals A difference between this embodiment and Embodiment 5 lies in a mapping solution between feedback signals and codewords. For the mapping solution of this embodiment, reference can be made to Table 1-20. TABLE 1-20 Mapping Solution Between Feedback Signals And Codewords Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * A2 B2 NACK B1 E1 D2 ACK A1 C5 B4 NACK DTX A3 F1 C1 NACK C2 C6 D5 ACK C4 A6 D3 ACK DTX B3 C3 B6 NACK B5 D4 A4 ACK D6 D1 A5 It can be seen from Table 1-20 that, in this embodiment, the feedback signal D-N-D is mapped into A2; the feedback signal D-A-D is mapped into B2; the feedback signal N-D-D is mapped into B1; the feedback signal N-N-D is mapped into E1; the feedback signal N-A-D is mapped into D2; the feedback signal A-D-D is mapped into A1; the feedback signal A-N-D is mapped into C5; the feedback signal A-A-D is mapped into B4; the feedback signal D-D-N is mapped into A3; the feedback signal D-N-N is mapped into F1; the feedback signal D-A-N is mapped into C1; the feedback signal N-D-N is mapped into C2; the feedback signal N-N-N is mapped into C6; the feedback signal N-A-N is mapped into D5; the feedback signal A-D-N is mapped into C4; the feedback signal A-N-N is mapped into A6; the feedback signal A-A-N is mapped into D3; the feedback signal D-D-A is mapped into B3; the feedback signal D-N-A is mapped into C3; the feedback signal D-A-A is mapped into B6; the feedback signal N-D-A is mapped into B5; the feedback signal N-N-A is mapped into D4; the feedback signal N-A-A is mapped into A4; the feedback signal A-D-A is mapped into D6; the feedback signal A-N-A is mapped into D1; and the feedback signal A-A-A is mapped into A5. Code distance relationships between codewords and mapping relationships between codewords and codeword values according to this embodiment may be the same as those in Embodiment 5 of the method for encoding feedback signals, with reference to Tables 1-12 and 1-17. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 7 of a Method for Encoding Feedback Signals The method of this embodiment includes: encoding feedback signals of three carriers to output a bit sequence, and transmitting the bit sequence on a HS-DPCCH. The encoding the feedback signals of the three carriers may specifically include: mapping the feedback signals of the three carriers into a codeword selected from a codebook. The codebook satisfies a particular code distance relationship, which may be acquired through computer searching or by using other methods. Under a condition that a certain requirement (such as compatibility) is satisfied, a principle of selecting a codebook is that the smallest code distance is maximized, and the number of the smallest code distances is minimized. Specifically, the codebook selected in this embodiment includes 26 codewords in total, and these codewords are selected from the codebook comprising codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1. For code distance relationships between the codewords, reference can be made to Table 1-21. TABLE 1-21 Code Distance Relationships Between Codewords A1 A2 A3 A4 A5 A6 B1 B2 B3 B4 B5 B6 C1 C2 C3 C4 C5 C6 D1 D2 D3 D4 D5 D6 E2 F2 A1 0 6 6 6 6 6 10 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 7 3 A2 6 0 6 6 6 6 4 10 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 A3 6 6 0 6 6 6 4 4 10 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 A4 6 6 6 0 6 6 4 4 4 10 4 4 5 5 5 5 5 5 5 5 5 5 5 5 3 7 A5 6 6 6 6 0 6 4 4 4 4 10 4 5 5 5 5 5 5 5 5 5 5 5 5 7 3 A6 6 6 6 6 6 0 4 4 4 4 4 10 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B1 10 4 4 4 4 4 0 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B2 4 10 4 4 4 4 6 0 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 B3 4 4 10 4 4 4 6 6 0 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 B4 4 4 4 10 4 4 6 6 6 0 6 6 5 5 5 5 5 5 5 5 5 5 5 5 7 3 B5 4 4 4 4 10 4 6 6 6 6 0 6 5 5 5 5 5 5 5 5 5 5 5 5 3 7 B6 4 4 4 4 4 10 6 6 6 6 6 0 5 5 5 5 5 5 5 5 5 5 5 5 7 3 C1 5 5 5 5 5 5 5 5 5 5 5 5 0 6 6 6 6 6 10 4 4 4 4 4 6 4 C2 5 5 5 5 5 5 5 5 5 5 5 5 6 0 6 6 6 6 4 10 4 4 4 4 2 8 C3 5 5 5 5 5 5 5 5 5 5 5 5 6 6 0 6 6 6 4 4 10 4 4 4 6 4 C4 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 0 6 6 4 4 4 10 4 4 6 4 C5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 0 6 4 4 4 4 10 4 4 6 C6 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 0 4 4 4 4 4 10 6 4 D1 5 5 5 5 5 5 5 5 5 5 5 5 10 4 4 4 4 4 0 6 6 6 6 6 4 6 D2 5 5 5 5 5 5 5 5 5 5 5 5 4 10 4 4 4 4 6 0 6 6 6 6 8 2 D3 5 5 5 5 5 5 5 5 5 5 5 5 4 4 10 4 4 4 6 6 0 6 6 6 4 6 D4 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 10 4 4 6 6 6 0 6 6 4 6 D5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 10 4 6 6 6 6 0 6 6 4 D6 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 10 6 6 6 6 6 0 4 6 E2 7 5 5 3 7 3 3 5 5 7 3 7 6 2 6 6 4 6 4 8 4 4 6 4 0 10 F2 3 5 5 7 3 7 7 5 5 3 7 3 4 8 4 4 6 4 6 2 6 6 4 6 10 0 Further, for the mapping a feedback signal into a codeword selected from the codebook, reference can be made to Table 1-22. TABLE 1-22 Mapping Solution Between Feedback Signals And Codewords Carrier 2 Carrier 3 Carrier 1 DTX NACK ACK DTX DTX * D1 C1 NACK B1 C2 A2 ACK A1 B2 D2 NACK DTX B6 C5 C6 NACK D4 E2 A3 ACK D3 A5 F2 ACK DTX A6 C4 C3 NACK D5 A4 B5 ACK D6 B3 B4 It can be seen from Table 1-22 that, in this embodiment, the feedback signal D-N-D is mapped into D1; the feedback signal D-A-D is mapped into C1; the feedback signal N-D-D is mapped into B1; the feedback signal N-N-D is mapped into C2; the feedback signal N-A-D is mapped into A2; the feedback signal A-D-D is mapped into A1; the feedback signal A-N-D is mapped into B2; the feedback signal A-A-D is mapped into D2; the feedback signal D-D-N is mapped into B6; the feedback signal D-N-N is mapped into C5; the feedback signal D-A-N is mapped into C6; the feedback signal N-D-N is mapped into D4; the feedback signal N-N-N is mapped into E2; the feedback signal N-A-N is mapped into A3; the feedback signal A-D-N is mapped into D3; the feedback signal A-N-N is mapped into A5; the feedback signal A-A-N is mapped into F2; the feedback signal D-D-A is mapped into A6; the feedback signal D-N-A is mapped into C4; the feedback signal D-A-A is mapped into C3; the feedback signal N-D-A is mapped into D5; the feedback signal N-N-A is mapped into A4; the feedback signal N-A-A is mapped into B5; the feedback signal A-D-A is mapped into D6; the feedback signal A-N-A is mapped into B3; and the feedback signal A-A-A is mapped into B4. Still further, in this embodiment, codeword values corresponding to each codeword are provided, and the codeword values are bit sequences which may be referred to Table 1-23. As can be seen from Table 1-23, the codebook comprises 26 codeword values. TABLE 1-23 Mapping Relationships Between Codewords And Bit Sequences Codeword Bit sequence A1 1 1 1 1 1 1 1 1 1 1 A2 0 0 1 1 0 0 1 1 0 0 A3 1 1 0 0 0 1 1 0 0 0 A4 1 0 0 1 0 0 0 0 1 1 A5 0 1 0 0 1 0 0 1 1 0 A6 0 0 1 0 1 1 0 0 0 1 B1 0 0 0 0 0 0 0 0 0 0 B2 1 1 0 0 1 1 0 0 1 1 B3 0 0 1 1 1 0 0 1 1 1 B4 0 1 1 0 1 1 1 1 0 0 B5 1 0 1 1 0 1 1 0 0 1 B6 1 1 0 1 0 0 1 1 1 0 C1 1 1 1 1 1 0 0 0 0 0 C2 0 1 0 1 0 1 0 1 0 1 C3 0 1 1 0 0 0 1 0 1 1 C4 1 0 1 0 0 1 0 1 1 0 C5 0 0 0 1 1 1 1 0 1 0 C6 1 0 0 0 1 0 1 1 0 1 D1 0 0 0 0 0 1 1 1 1 1 D2 1 0 1 0 1 0 1 0 1 0 D3 1 0 0 1 1 1 0 1 0 0 D4 0 1 0 1 1 0 1 0 0 1 D5 1 1 1 0 0 0 0 1 0 1 D6 0 1 1 1 0 1 0 0 1 0 E2 0 0 0 1 0 1 0 0 0 1 F2 1 1 1 0 1 0 1 1 1 0 Table 1-23 is a specific example. The present invention is not limited to merely the mapping relationships shown in Table 1-23, and those mapping relationships obtained by performing simple transformation on the basis of Table 1-23 also falls within the scope of the present invention, such as random changing of a sequence between columns on the basis of Table 1-23, or negation of a certain column value. This embodiment provides a method for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. In view of the foregoing, the embodiments provide solutions for HARQ-ACK technology in TC mode. According to the foregoing description, the present invention is further applicable to double code channels, which solves HARQ-ACK feedback problems of 4 carriers, 5 carriers, and 6 carriers. For ease of description, in the embodiments, definitions of the following terms are specified as follows. SC: an encoding solution for single-carrier, that is, the encoding solution corresponding to Table 1-1. DC: an encoding solution for dual-carrier, that is, the encoding solution corresponding to Table 1-2. TC: an encoding solution for ternary-carrier, that is, the encoding solution according to the present invention. for 4 carriers: the TC encoding solution may be applied in a first code channel, and the SC encoding solution may be applied in a second code channel; for 5 carriers: the TC encoding solution may be applied in a first code channel, and the DC encoding solution may be applied in a second code channel; and for 6 carriers: the TC encoding solution may be applied in a first code channel, and the TC encoding solution may also be applied in a second code channel. Embodiment 1 of an Apparatus for Encoding Feedback Signal FIG. 3 is a schematic structure of an apparatus for encoding feedback signal according to Embodiment 1. As shown in FIG. 3, the apparatus includes an encoder 1 and a transmitter 2. The encoder 1 is configured to encode feedback signals of three carriers to output a bit sequence, and the transmitter 2 is configured to transmit the bit sequence on a HS-DPCCH. In this embodiment, the encoder 1 is further configured to map the feedback signals of the three carriers into a codeword. The codeword is selected from the codebook comprising codewords G1 to G16 and H1 to H10. For code distance relationships between the codewords in the codebook, reference can be made to Table 1-9. Specifically, in this embodiment, the encoder 1 may perform the encoding procedure according to the description in Embodiment 1 of method for encoding feedback signals aforementioned. This embodiment provides an apparatus for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 2 of an Apparatus for Encoding Feedback Signals The apparatus according to this embodiment may include an encoder and a transmitter. The encoder is configured to encode feedback signals of three carriers to output a bit sequence, and the transmitter is configured to transmit the bit sequence on a HS-DPCCH. In this embodiment, the encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, and D1 to D6. For code distance relationships between the codewords, reference can be made to Table 1-12. Specifically, in this embodiment, the encoder 1 may perform the encoding procedure according to the description in Embodiment 2 to Embodiment 4 of the method for encoding feedback signals aforementioned. This embodiment provides an apparatus for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 3 of an Apparatus for Encoding Feedback Signals The apparatus according to this embodiment may include an encoder and a transmitter. The encoder is configured to encode feedback signals of three carriers to output a bit sequence, and transmitter is configured to transmit the bit sequence on a HS-DPCCH. In this embodiment, the encoder is further configured to map the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1. For code distance relationships between the codewords in the codebook, reference can be made to Table 1-17. Specifically, in this embodiment, the encoder 1 may perform the encoding procedure according to the description in Embodiment 5 and Embodiment 6 of the method for encoding feedback signals. This embodiment provides an apparatus for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. Embodiment 4 of an Apparatus for Encoding Feedback Signals The apparatus according to this embodiment may include an encoder and a transmitter. The encoder is configured to encode feedback signals of three carriers to output a bit sequence, and the transmitter is configured to transmit the bit sequence on a HS-DPCCH. In this embodiment, the encoder is configured to map the feedback signals of the three carriers into a codeword selected from a codebook. The codebook comprises codewords A1 to A6, B1 to B6, C1 to C6, D1 to D6, E1, and F1. For code distance relationships between the codewords in the codebook, reference can be made to Table 1-21. Specifically, in this embodiment, encoder 1 may perform the encoding procedure according to the description in Embodiment 7 of the method encoding feedback signal. This embodiment provides an apparatus for encoding feedback signals of three carriers in TC mode. In this embodiment, a single code channel is applied, which not only reduces power overhead and improves system performance, but does not affect CM value. A person skilled in the art may understand that all or part of the steps of the method according to the embodiments may be implemented by a computer program code instructing hardware. The computer program code may be stored in a computer readable storage medium. When the computer program code runs in a computer unit, the steps of the method according to the embodiments of the present invention are performed. The storage medium may be any medium that is capable of storing program codes, such as a Read-Only Memory (ROM), a Random Access Memory (RAM), a magnetic disk, or an optical disk. It should be noted that the above embodiments are merely provided for elaborating the technical solutions of the present invention, but not intended to limit the present invention. Although the present invention has been described in detail with reference to the foregoing embodiments, it is apparent that persons skilled in the art can make various modifications and variations to the invention without departing from the spirit and scope of the invention. The invention shall cover the modifications and variations provided that they fall within the scope of protection defined by the following claims or their equivalents. While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments.",H04W720406,H04W7204,20160119,20180710,20160512,75607.0 17,15003197,PENDING,CAPSULE OBJECT RUPTURE TESTING SYSTEM AND ASSOCIATED METHOD,A capsule rupture testing system includes a positioning channel configured to receive one or more smoking article filters therein. The smoking article filters include a filter material and a capsule object disposed therein. The system includes a smoking article positioning device configured to position the smoking article filter elements at a testing position within the positioning channel. The system includes a rupturing device that includes an actuating element configured to operably engage at least one smoking article filter element at the testing position. The testing position aligns the actuating element with an expected position of the capsule object. The rupturing device also includes a measuring element configured to determine a deformation measurement associated with deforming the filter element. The system also includes an analysis unit configured to analyze the deformation measurement and configured to determine a rupture point of the capsule object. An associated method is also provided.,"1. A capsule object rupture testing system comprising: a positioning channel configured to receive one or more smoking article filter elements therein, the smoking article filters respectively comprising a filter material and a capsule object disposed within the filter material; a smoking article positioning device configured to position the smoking article filter elements at a testing position within the positioning channel; a rupturing device comprising: an actuating element configured to operably engage at least one smoking article filter element at the testing position, the testing position being configured to align the actuating element with an expected position of the capsule object, and a measuring element configured to determine a deformation measurement associated with deforming the smoking article filter element; and an analysis unit configured to analyze the deformation measurement obtained by the rupturing device and configured to determine a rupture point of the capsule object disposed within the smoking article filter element. 2. The capsule object rupture testing system of claim 1 further comprising a smoking article filter element repository configured to retain a plurality of smoking article filter elements therein. 3. The capsule object rupture testing system of claim 2, further comprising a dispensing device, the dispensing device operably engaged with the smoking article filter element repository and configured to introduce the smoking article filter elements to the positioning channel. 4. The capsule object rupture testing system of claim 1, wherein the measuring device is configured to measure a response force associated with operably engaging the actuating element with the one of the smoking article filter elements. 5. The capsule object rupture testing system of claim 4, wherein the actuating element of the rupturing device is configured to operably engage the one of the smoking article filter elements disposed in the testing position until the analysis unit detects a force transition corresponding to rupture of the capsule object. 6. The capsule object rupture testing system of claim 1, wherein the measuring device is configured to measure a deformation distance associated with operably engaging the actuating element with the one of the smoking article filter elements. 7. The capsule object rupture testing system of claim 6, wherein the actuating element of the rupturing device is configured to operably engage the one of the smoking article filter elements disposed in the testing position until the analysis unit detects a deformation transition corresponding to rupture of the capsule object. 8. The capsule object rupture testing system of claim 1, wherein the actuating element of the rupturing device is configured to move along a longitudinal axis of the actuating element, the longitudinal axis of the actuating element being orthogonal to a longitudinal axis of the positioning channel. 9. The capsule object rupture testing system of claim 8, wherein the smoking article positioning device is configured to displace one of the smoking article filter elements from the testing position by positioning another one of the smoking article filter elements in the testing position. 10. The capsule object rupture testing system of claim 1, wherein the smoking article positioning device is configured to move a predetermined positioning distance to position the smoking article filter elements in the testing position. 11. A method for determining a rupture point of a capsule object disposed within a smoking article filter element, the method comprising: positioning at least one smoking article filter element that includes a filter material and a capsule object disposed within the filter material to a testing position; engaging an actuating element of a rupturing device with the smoking article filter element disposed in the testing position, the testing position being configured to align the actuating element with an expected position of the capsule object within the smoking article filter element; measuring a deformation measurement associated with a deformation of the smoking article filter element with a measuring element of the rupturing device; and determining a rupture point of the capsule object disposed within the smoking article filter element. 12. The method of claim 11 further comprising dispensing at least one smoking article filter element to a positioning channel. 13. The method of claim 11, wherein positioning at least one smoking article filter element to the testing position further comprises moving a smoking article positioning device a predetermined positioning distance. 14. The method of claim 13, wherein moving the smoking article positioning device the predetermined positioning distance further comprises moving the smoking article positioning device in a direction parallel to a longitudinal axis of the positioning channel. 15. The method of claim 14, wherein positioning at least one smoking article filter element to the testing position further comprises displacing one of the smoking article filter elements from the testing position by positioning another one of the smoking article filter elements in the testing position. 16. The method of claim 11, wherein measuring the deformation measurement further comprises measuring a deformation distance associated with operably engaging the actuating element with the one of the smoking article filter elements. 17. The method of claim 16 further comprising detecting a deformation transition corresponding to a rupture of the capsule object with an analysis unit. 18. The method of claim 11, wherein measuring the deformation measurement further comprises measuring a response force associated with operably engaging the actuating element with the one of the smoking article filter elements. 19. The method of claim 18 further comprising detecting a deformation transition corresponding to a rupture of the capsule object with an analysis unit. 20. The method of claim 11 further comprising disengaging the actuating element of the rupturing device with the smoking article filter element disposed in the testing position after detecting a deformation transition corresponding to the rupture of the capsule object."," BACKGROUND OF THE DISCLOSURE Popular tobacco products, such as cigarettes, smokeless tobacco products, and/or the like typically include a tobacco or tobacco-related material such as shredded tobacco (e.g., in cut filler form). Some tobacco products further include a capsule object within the tobacco and/or tobacco-related product. For example, a smokeless tobacco product configured for insertion into the mouth of a user may include a pouch portion that contains a tobacco formulation having a tobacco material and a plurality of microcapsules therein, as disclosed in U.S. Pat. No. 8,695,609 to Dube et al., which is incorporated herein by reference in its entirety. In another example, a cigarette may include a tobacco rod and a filter element that incorporates a capsule therein, as disclosed in U.S. Pat. No. 7,984,719 to Dube et al., which is incorporated herein by reference in its entirety. Various types of capsules suitable for use in tobacco products, tobacco product components that incorporate breakable capsules, and equipment and techniques associated with manufacturing those tobacco product components, are proposed in U.S. Pat. No. 6,631,722 to MacAdam et al.; U.S. Pat. No. 7,479,098 to Thomas et al.; U.S. Pat. No. 7,833,146 to Deal; U.S. Pat. No. 7,972,254 to Stokes et al.; U.S. Pat. No. 8,186,359 to Ademe et al.; U.S. Pat. No. 8,262,550 to Barnes et al.; U.S. Pat. No. 8,303,474 to Iliev et al.; U.S. Pat. No. 8,308,623 to Nelson et al.; U.S. Pat. No. 8,353,810 to Garthaffner et al.; U.S. Pat. No. 8,381,947 to Garthaffner et al.; U.S. Pat. No. 8,459,272 to Karles et al.; U.S. Pat. No. 8,739,802 to Fagg; U.S. Pat. No. 8,905,243 to Dixon et al. and U.S. Pat. No. 9,055,768 to Henley et al.; U.S. Pat. App. Pub. Nos. 2010/0184576 to Prestia et al.; 2011/0271968 to Carpenter et al.; to Henley et al. and 2013/0085052 to Novak III, et al.; and U.S. patent application Ser. No. 14/835,962, to Ademe, filed Aug. 26, 2015; which are incorporated herein by reference. Additionally, representative cigarette products that possess filter elements incorporating breakable capsules have been marketed throughout the world under the brandnames such as “Marlboro W-Burst 5,” “Kent iSwitch,” “Kool Boost,” “Camel Lights with Menthol Boost,” “Camel Crush,” “Camel Silver Menthol,” “Camel Filters Menthol,” and “Camel Crush Bold.” Furthermore, representative types of vapor delivery systems that incorporate breakable capsules have been proposed in U.S. Pat. Pub. Nos. 2014/0261486 to Potter; 2015/0059780 to Davis; 2015/0335070 to Sears et al.; which are incorporated herein by reference. Exemplary types of capsules, capsule ingredients, capsule configurations and formats, capsule sizes, capsule properties and capsule preparation techniques are set forth in U.S. Pat. No. 5,223,185 to Takei et al.; U.S. Pat. No. 5,387,093 to Takei; U.S. Pat. No. 5,882,680 to Suzuki et al.; U.S. Pat. No. 6,719,933 to Nakamura et al.; U.S. Pat. No. 7,754,239 to Mane; U.S. Pat. No. 6,949,256 to Fonkwe et al.; U.S. Pat. No. 7,984,719 to Dube et al.; U.S. Pat. No. 8,470,215 to Zhang and U.S. Pat. No. 8,695,609 to Dube; U.S. Pat. App. Pub. Nos. 2004/0224020 to Schoenhard; 2005/0196437 to Bednarz et al.; 2005/0249676 to Scott et al. and 2014/0053855 to Hartmann et al.; and PCT WO 03/009711 to Kim and PCT WO 2014/170947 to Iwatani; which are incorporated herein by reference. Additionally, examples of representative types of capsules and capsule components have been commercially available as “Momints” by Yosha! Enterprises, Inc. and “Ice Breakers Liquid Ice” from The Hershey Company; and representative types of capsules and capsule components have been incorporated into chewing gum, such as the type of gum marketed under the tradename “Cinnaburst” by Cadbury Adams USA. During the production of these tobacco products, inspection of the capsule and/or the tobacco product may occur. Example systems for analyzing, inspecting, and/or sorting defective tobacco products and/or capsules included therein are set forth in U.S. Pat. No. 8,905,243 to Dixon; U.S. Pat. App. Pub. No. 2014/0131579 to Ademe et al.; and U.S. patent application Ser. No. 14/835,962 to Ademe et al., filed Aug. 26, 2015, which are incorporated herein by reference in their entirety. For example, inspection of the capsules to be included in the tobacco products may occur before, during, and/or after the production of the tobacco product. Inspection of the capsules during and/or after the production of the tobacco product that includes the capsule may provide additional difficulties. For example, a system configured to inspect capsules after the tobacco product has been produced could allow for the introduction of a defective capsule in the final tobacco product, thereby wasting materials by producing a defective tobacco product. In this regard, the manufactured tobacco product that includes the defective capsule cannot be sold for consumption because the perception of the quality of the product may be damaged. As such, it may be desirable to inspect capsules to determine which capsules are defective before incorporating any capsules into a tobacco product. In particular, it may be desirable to determine if a capsule, which includes an outer shell and an inner payload, has dimensions, attributes, and/or properties that are substantially equal to or within predetermined acceptable interval limits. Further, it may be desirable to perform the inspection of capsules largely, or entirely, by high-speed automated machinery. As such, there exists a need for a system and method for inspecting capsules for defects prior to the capsules being included within a tobacco product for distribution and sale. It may also be desirable for such a solution to be readily implemented with respect to existing tobacco product production machinery."," SUMMARY OF THE DISCLOSURE The above and other needs are met by aspects of the present disclosure which, in one aspect, provides a capsule object rupture testing system that includes a positioning channel configured to receive one or more smoking article filters therein. The smoking article filters may include a filter element and a capsule object disposed within the filter element. The capsule object rupture testing system may also include a smoking article positioning device configured to position the smoking article filters at a testing position within the positioning channel. The capsule object rupture testing system may also include a rupturing device that includes an actuating element and a measuring element. The actuating element may be configured to operably engage at least one of the smoking article filter elements at the testing position. The testing position may be configured to align the actuating element with an expected position of the capsule object. The measuring element may be configured to determine a deformation measurement associated with deforming the filter element. The capsule object rupture testing system may also include an analysis unit configured to analyze the deformation measurement obtained by the rupturing device. The analysis unit may also be configured to determine a rupture point of the capsule object disposed within the filter element. According to some embodiments, the capsule object rupture testing system may also include a smoking article repository configured to retain a plurality of smoking article filters therein. According to another embodiment, the smoking article repository may include a dispensing device that is configured to introduce the one of the smoking article filter elements to the positioning channel. In another embodiment, the measuring device may be configured to measure a response force associated with operably engaging the actuating element with the one of the smoking article filter elements. Additionally or alternatively, the actuating element of the rupturing device may be configured to operably engage the one of the smoking article filter elements disposed in the testing position until the analysis unit detects a force transition corresponding to rupture of the capsule object. According to some embodiments, the measuring device may be configured to measure a deformation distance associated with operably engaging the actuating element with the one of the smoking article filter elements. Additionally or alternatively, the actuating element of the rupturing device may be configured to operably engage the one of the smoking article filter elements disposed in the testing position until the analysis unit detects a force transition corresponding to rupture of the capsule object. In some embodiments, the actuating element of the rupturing device may be configured to move along a longitudinal axis of the actuating element. The longitudinal axis of the actuating element may be orthogonal to a longitudinal axis of the positioning channel. In some embodiments, the smoking article positioning device may be configured to displace one of the smoking article filter elements from the testing position by positioning another one of the smoking article filter elements in the testing position. According to some embodiments, the smoking article positioning device may be configured to move a predetermined positioning distance to position the smoking article filter elements in the testing position. According to another aspect, a method for determining a rupture point of a capsule object disposed within a smoking article filter element is provided. The method may include positioning at least one smoking article filter element that includes a filter material and a capsule object disposed within the filter material to a testing position. The method may include engaging an actuating element of a rupturing device with the smoking article filter element disposed in the testing position. The testing position may be configured to align the actuating element with an expected position of the capsule object within the filter element. The method may include measuring a deformation associated with a deformation of the filter element with a measuring element of the rupturing device. The method may include determining a rupture point of the capsule object disposed within the filter element of the at least one smoking article filter element. According to some embodiments, the method may further include dispensing at least one smoking article filter element to a positioning channel. In some embodiments, the method that includes positioning at least one smoking article filter element to the testing position further includes moving a smoking article positioning device a predetermined positioning distance. According to some embodiments, moving the smoking article positioning device a predetermined positioning distance may further include moving the smoking article positioning device in a direction parallel to a longitudinal axis of the positioning channel. In another embodiment, positioning the at least one smoking article filter element to the testing position may further include displacing one of the smoking article filter elements from the testing position by positioning another one of the smoking article filter elements in the testing position. According to another embodiment, the method that includes measuring the deformation associated with the deformation of the filter element with the measuring device of the rupturing device may further include measuring a deformation distance associated with operably engaging the actuating element with one of the smoking article filter elements. Additionally or alternatively, the method may include detecting a deformation transition that corresponds to a rupture of the capsule object with an analysis unit. In another embodiment, the method that includes measuring the deformation associated with the deformation of the filter element with the measuring element of the rupturing device further comprises measuring a response force associated with operably engaging the actuating element with the one of the smoking article filter elements. Additionally or alternatively, the method may further include detecting a deformation transition corresponding to a rupture of the capsule object with an analysis unit. According to another embodiment, the method may also include disengaging the actuating element of the rupturing device with the smoking article filter element disposed in the testing position after detecting a deformation transition corresponding to the rupture of the capsule object. These and other features, aspects, and advantages of the disclosure will be apparent from a reading of the following detailed description together with the accompanying drawings, which are briefly described below.","FIELD OF THE DISCLOSURE The present disclosure relates to capsule objects and more particularly to a capsule object rupture testing system and related methods. The capsule objects may be included in smoking articles, and may be made or derived from tobacco, or otherwise incorporate tobacco. The capsule objects may be intended for human consumption. BACKGROUND OF THE DISCLOSURE Popular tobacco products, such as cigarettes, smokeless tobacco products, and/or the like typically include a tobacco or tobacco-related material such as shredded tobacco (e.g., in cut filler form). Some tobacco products further include a capsule object within the tobacco and/or tobacco-related product. For example, a smokeless tobacco product configured for insertion into the mouth of a user may include a pouch portion that contains a tobacco formulation having a tobacco material and a plurality of microcapsules therein, as disclosed in U.S. Pat. No. 8,695,609 to Dube et al., which is incorporated herein by reference in its entirety. In another example, a cigarette may include a tobacco rod and a filter element that incorporates a capsule therein, as disclosed in U.S. Pat. No. 7,984,719 to Dube et al., which is incorporated herein by reference in its entirety. Various types of capsules suitable for use in tobacco products, tobacco product components that incorporate breakable capsules, and equipment and techniques associated with manufacturing those tobacco product components, are proposed in U.S. Pat. No. 6,631,722 to MacAdam et al.; U.S. Pat. No. 7,479,098 to Thomas et al.; U.S. Pat. No. 7,833,146 to Deal; U.S. Pat. No. 7,972,254 to Stokes et al.; U.S. Pat. No. 8,186,359 to Ademe et al.; U.S. Pat. No. 8,262,550 to Barnes et al.; U.S. Pat. No. 8,303,474 to Iliev et al.; U.S. Pat. No. 8,308,623 to Nelson et al.; U.S. Pat. No. 8,353,810 to Garthaffner et al.; U.S. Pat. No. 8,381,947 to Garthaffner et al.; U.S. Pat. No. 8,459,272 to Karles et al.; U.S. Pat. No. 8,739,802 to Fagg; U.S. Pat. No. 8,905,243 to Dixon et al. and U.S. Pat. No. 9,055,768 to Henley et al.; U.S. Pat. App. Pub. Nos. 2010/0184576 to Prestia et al.; 2011/0271968 to Carpenter et al.; to Henley et al. and 2013/0085052 to Novak III, et al.; and U.S. patent application Ser. No. 14/835,962, to Ademe, filed Aug. 26, 2015; which are incorporated herein by reference. Additionally, representative cigarette products that possess filter elements incorporating breakable capsules have been marketed throughout the world under the brandnames such as “Marlboro W-Burst 5,” “Kent iSwitch,” “Kool Boost,” “Camel Lights with Menthol Boost,” “Camel Crush,” “Camel Silver Menthol,” “Camel Filters Menthol,” and “Camel Crush Bold.” Furthermore, representative types of vapor delivery systems that incorporate breakable capsules have been proposed in U.S. Pat. Pub. Nos. 2014/0261486 to Potter; 2015/0059780 to Davis; 2015/0335070 to Sears et al.; which are incorporated herein by reference. Exemplary types of capsules, capsule ingredients, capsule configurations and formats, capsule sizes, capsule properties and capsule preparation techniques are set forth in U.S. Pat. No. 5,223,185 to Takei et al.; U.S. Pat. No. 5,387,093 to Takei; U.S. Pat. No. 5,882,680 to Suzuki et al.; U.S. Pat. No. 6,719,933 to Nakamura et al.; U.S. Pat. No. 7,754,239 to Mane; U.S. Pat. No. 6,949,256 to Fonkwe et al.; U.S. Pat. No. 7,984,719 to Dube et al.; U.S. Pat. No. 8,470,215 to Zhang and U.S. Pat. No. 8,695,609 to Dube; U.S. Pat. App. Pub. Nos. 2004/0224020 to Schoenhard; 2005/0196437 to Bednarz et al.; 2005/0249676 to Scott et al. and 2014/0053855 to Hartmann et al.; and PCT WO 03/009711 to Kim and PCT WO 2014/170947 to Iwatani; which are incorporated herein by reference. Additionally, examples of representative types of capsules and capsule components have been commercially available as “Momints” by Yosha! Enterprises, Inc. and “Ice Breakers Liquid Ice” from The Hershey Company; and representative types of capsules and capsule components have been incorporated into chewing gum, such as the type of gum marketed under the tradename “Cinnaburst” by Cadbury Adams USA. During the production of these tobacco products, inspection of the capsule and/or the tobacco product may occur. Example systems for analyzing, inspecting, and/or sorting defective tobacco products and/or capsules included therein are set forth in U.S. Pat. No. 8,905,243 to Dixon; U.S. Pat. App. Pub. No. 2014/0131579 to Ademe et al.; and U.S. patent application Ser. No. 14/835,962 to Ademe et al., filed Aug. 26, 2015, which are incorporated herein by reference in their entirety. For example, inspection of the capsules to be included in the tobacco products may occur before, during, and/or after the production of the tobacco product. Inspection of the capsules during and/or after the production of the tobacco product that includes the capsule may provide additional difficulties. For example, a system configured to inspect capsules after the tobacco product has been produced could allow for the introduction of a defective capsule in the final tobacco product, thereby wasting materials by producing a defective tobacco product. In this regard, the manufactured tobacco product that includes the defective capsule cannot be sold for consumption because the perception of the quality of the product may be damaged. As such, it may be desirable to inspect capsules to determine which capsules are defective before incorporating any capsules into a tobacco product. In particular, it may be desirable to determine if a capsule, which includes an outer shell and an inner payload, has dimensions, attributes, and/or properties that are substantially equal to or within predetermined acceptable interval limits. Further, it may be desirable to perform the inspection of capsules largely, or entirely, by high-speed automated machinery. As such, there exists a need for a system and method for inspecting capsules for defects prior to the capsules being included within a tobacco product for distribution and sale. It may also be desirable for such a solution to be readily implemented with respect to existing tobacco product production machinery. SUMMARY OF THE DISCLOSURE The above and other needs are met by aspects of the present disclosure which, in one aspect, provides a capsule object rupture testing system that includes a positioning channel configured to receive one or more smoking article filters therein. The smoking article filters may include a filter element and a capsule object disposed within the filter element. The capsule object rupture testing system may also include a smoking article positioning device configured to position the smoking article filters at a testing position within the positioning channel. The capsule object rupture testing system may also include a rupturing device that includes an actuating element and a measuring element. The actuating element may be configured to operably engage at least one of the smoking article filter elements at the testing position. The testing position may be configured to align the actuating element with an expected position of the capsule object. The measuring element may be configured to determine a deformation measurement associated with deforming the filter element. The capsule object rupture testing system may also include an analysis unit configured to analyze the deformation measurement obtained by the rupturing device. The analysis unit may also be configured to determine a rupture point of the capsule object disposed within the filter element. According to some embodiments, the capsule object rupture testing system may also include a smoking article repository configured to retain a plurality of smoking article filters therein. According to another embodiment, the smoking article repository may include a dispensing device that is configured to introduce the one of the smoking article filter elements to the positioning channel. In another embodiment, the measuring device may be configured to measure a response force associated with operably engaging the actuating element with the one of the smoking article filter elements. Additionally or alternatively, the actuating element of the rupturing device may be configured to operably engage the one of the smoking article filter elements disposed in the testing position until the analysis unit detects a force transition corresponding to rupture of the capsule object. According to some embodiments, the measuring device may be configured to measure a deformation distance associated with operably engaging the actuating element with the one of the smoking article filter elements. Additionally or alternatively, the actuating element of the rupturing device may be configured to operably engage the one of the smoking article filter elements disposed in the testing position until the analysis unit detects a force transition corresponding to rupture of the capsule object. In some embodiments, the actuating element of the rupturing device may be configured to move along a longitudinal axis of the actuating element. The longitudinal axis of the actuating element may be orthogonal to a longitudinal axis of the positioning channel. In some embodiments, the smoking article positioning device may be configured to displace one of the smoking article filter elements from the testing position by positioning another one of the smoking article filter elements in the testing position. According to some embodiments, the smoking article positioning device may be configured to move a predetermined positioning distance to position the smoking article filter elements in the testing position. According to another aspect, a method for determining a rupture point of a capsule object disposed within a smoking article filter element is provided. The method may include positioning at least one smoking article filter element that includes a filter material and a capsule object disposed within the filter material to a testing position. The method may include engaging an actuating element of a rupturing device with the smoking article filter element disposed in the testing position. The testing position may be configured to align the actuating element with an expected position of the capsule object within the filter element. The method may include measuring a deformation associated with a deformation of the filter element with a measuring element of the rupturing device. The method may include determining a rupture point of the capsule object disposed within the filter element of the at least one smoking article filter element. According to some embodiments, the method may further include dispensing at least one smoking article filter element to a positioning channel. In some embodiments, the method that includes positioning at least one smoking article filter element to the testing position further includes moving a smoking article positioning device a predetermined positioning distance. According to some embodiments, moving the smoking article positioning device a predetermined positioning distance may further include moving the smoking article positioning device in a direction parallel to a longitudinal axis of the positioning channel. In another embodiment, positioning the at least one smoking article filter element to the testing position may further include displacing one of the smoking article filter elements from the testing position by positioning another one of the smoking article filter elements in the testing position. According to another embodiment, the method that includes measuring the deformation associated with the deformation of the filter element with the measuring device of the rupturing device may further include measuring a deformation distance associated with operably engaging the actuating element with one of the smoking article filter elements. Additionally or alternatively, the method may include detecting a deformation transition that corresponds to a rupture of the capsule object with an analysis unit. In another embodiment, the method that includes measuring the deformation associated with the deformation of the filter element with the measuring element of the rupturing device further comprises measuring a response force associated with operably engaging the actuating element with the one of the smoking article filter elements. Additionally or alternatively, the method may further include detecting a deformation transition corresponding to a rupture of the capsule object with an analysis unit. According to another embodiment, the method may also include disengaging the actuating element of the rupturing device with the smoking article filter element disposed in the testing position after detecting a deformation transition corresponding to the rupture of the capsule object. These and other features, aspects, and advantages of the disclosure will be apparent from a reading of the following detailed description together with the accompanying drawings, which are briefly described below. BRIEF DESCRIPTION OF THE DRAWINGS In order to assist the understanding of aspects of the disclosure, reference will now be made to the appended drawings, which are not necessarily drawn to scale and in which like reference numerals refer to like elements. The drawings are exemplary only, and should not be construed as limiting the disclosure. FIG. 1 illustrates a cross-sectional view through a capsule object according to an example aspect of the present disclosure; FIG. 2 illustrates an exploded perspective view of a smoking article according to an example aspect of the present disclosure; FIG. 3 illustrates a cross-sectional view through a smoking article, such as the smoking article illustrated in FIG. 2, according to an example aspect of the present disclosure; FIG. 4A illustrates a schematic view of a capsule object rupture testing system according to an example aspect of the present disclosure; FIG. 4B illustrates a schematic view of the capsule object rupture testing system of FIG. 4A, where an actuating element of a rupturing device is operably engaged with a smoking article filter according to an example aspect of the present disclosure; FIG. 4C illustrates a schematic view of the capsule object rupture testing system of FIG. 4A, where a smoking article positioning device is displacing one of the smoking article filters from the testing position by positioning another one of the smoking article filters in the testing position according to one example aspect of the present disclosure; FIG. 4D illustrates a schematic view of the capsule object rupture testing system of FIG. 4A, where a smoking article repository is introducing one of the smoking article filters to the positioning channel according to one example aspect of the present disclosure; FIG. 5 illustrates a perspective view of a dispensing device of a capsule object rupture testing system according to one example aspect of the present disclosure; FIG. 6 illustrates a perspective view of the capsule object rupture testing system of FIG. 4A according to one example aspect of the present disclosure; FIG. 7 illustrates a schematic block diagram of a method for determining a rupture point of a capsule object disposed within a smoking article filter element according to one example aspect of the present disclosure; and FIG. 8 illustrates a schematic view of components of an analysis unit of a capsule object rupture testing system according to one example aspect of the present disclosure. DETAILED DESCRIPTION OF THE ASPECTS OF THE DISCLOSURE The present disclosure will now be described more fully hereinafter with reference to exemplary aspects thereof. These exemplary aspects are described so that this disclosure will be thorough and complete, and will fully convey the scope of the disclosure to those skilled in the art. Indeed, the disclosure may be expressed in many different forms and should not be construed as limited to the aspects set forth herein; rather, these aspects are provided so that this disclosure will satisfy applicable legal requirements. As used in the specification, and in the appended claims, the singular forms “a”, “an”, “the”, include plural referents unless the context clearly dictates otherwise. As shown in FIG. 1, capsule objects 10 of the type disclosed herein may include an outer shell 12 incorporating an outer shell material, and an inner payload 14 incorporating an aqueous or non-aqueous liquid (e.g., a solution or dispersion of at least one flavoring ingredient within water or an organic liquid such as an alcohol or oil, or a mixture of water and a miscible liquid like alcohol or glycerin). Representative types of capsules are of the type commercially available as “Momints” by Yosha! Enterprises, Inc. of Westfield, N.J. and “Ice Breakers Liquid Ice” from The Hershey Company of Derry Township, Pennsylvania. Representative types of capsules also have been incorporated in chewing gum, such as the type of gum marketed under the tradename “Cinnaburst” by Cadbury Adams USA of Parsippany, N.J. Representative types of capsules and components thereof also are set forth in U.S. Pat. No. 3,339,558 to Waterbury; U.S. Pat. No. 3,390,686 to Irby, Jr. et al.; U.S. Pat. No. 3,685,521 to Dock; U.S. Pat. No. 3,916,914 to Brooks et al.; U.S. Pat. No. 4,889,144 to Tateno et al. and U.S. Pat. No. 6,631,722 to MacAdam et al.; and PCT Application WO 03/009711 to Kim; which are incorporated herein by reference in their entireties. See also, the types of capsules and components thereof set forth in U.S. Pat. No. 5,223,185 to Takei et al.; U.S. Pat. No. 5,387,093 to Takei; U.S. Pat. No. 5,882,680 to Suzuki et al.; U.S. Pat. No. 6,719,933 to Nakamura et al.; U.S. Pat. No. 7,754,239 to Mane et al. and U.S. Pat. No. 6,949,256 to Fonkwe et al.; and U.S. Pat. App. Pub. Nos. 2004/0224020 to Schoenhard; 2005/0196437 to Bednarz et al. and 2005/0249676 to Scott et al.; which are incorporated herein by reference in their entireties. In some aspects, the capsule object 10 may include an inner payload 14 that includes a flavoring agent configured to flavor the tobacco product. Preferred components of the inner payload 14 provide a desired alteration to the sensory attributes of the tobacco product such as, for example, smell, flavor, and/or mouthfeel. Exemplary flavoring agents that can be encapsulated within the capsule objects 10 can be natural or synthetic, and the character of these flavors can be described, without limitation, as fresh, sweet, herbal, confectionary, floral, fruity or spice. Specific types of flavors include, but are not limited to, vanilla, coffee, chocolate, cream, mint, spearmint, menthol, peppermint, wintergreen, lavender, cardamom, nutmeg, cinnamon, clove, cascarilla, sandalwood, honey, jasmine, ginger, anise, sage, licorice, lemon, orange, apple, peach, lime, cherry, and strawberry. See also, Leffingwill et al., Tobacco Flavoring for Smoking Products, R. J. Reynolds Tobacco Company (1972), which is incorporated herein by reference in its entirety. Flavorings also can include components that are considered moistening, cooling or smoothening agents, such as eucalyptus. These flavors may be provided neat (i.e., alone) or in a composite (e.g., spearmint and menthol, or orange and cinnamon). Composite flavors may be combined in a single capsule object 10 as a mixture, or as components of multiple capsule objects 10. Preferably, the capsule objects 10 do not incorporate any tobacco within their outer shells 12, or within their inner payload 14 regions. However, if desired, other embodiments of capsule objects may incorporate tobacco (e.g., as finely group tobacco pieces and/or tobacco extracts) within their outer shells and/or within their inner payload regions. See, for example, U.S. Pat. No. 7,836,895 to Dube et al., which is incorporated herein by reference in its entirety. In some aspects, the inner payload 14 is a mixture of a flavoring agent and a diluting agent or carrier. A preferred diluting agent is a triglyceride, such as a medium chain triglyceride, and more particularly a food grade mixture of medium chain triglycerides. See, for example, Radzuan et al., Porim Bulletin, 39, 33-38 (1999), which is incorporated herein by reference in its entirety. The amount of flavoring and diluting agent within the capsule object 10 may vary. In some instances, the diluting agent may be eliminated altogether, and the entire inner payload 14 can be composed of the flavoring agent entirely. Alternatively, the inner payload 14 can be almost entirely comprised of diluting agent, and only contain a very small amount of relatively potent flavoring agent. In one embodiment, the composition of the mixture of flavoring and diluting agent is in the range of about 5 percent to about 75 percent flavoring, and more preferably in the range of about 5 to about 25 percent flavoring, and most preferably in the range of about 10 to about 15 percent, by weight based on the total weight of the inner payload 14, with the balance being diluting agent. The size and weight of each capsule 10 may vary depending upon the desired properties it is to impart to the tobacco product. Preferred capsules 10 are generally spherical in shape. However, suitable capsules may have other types of shapes, such as generally rectilinear, oblong, elliptical, or oval shapes. Exemplary smaller spherical capsules have diameters of at least about 0.5 mm, generally at least about 1 mm, often at least about 2 mm, and frequently at least about 3 mm. Exemplary larger spherical capsules have diameters of less than about 6 mm, and often less than about 5 mm. Exemplary smaller individual capsules weigh at least about 5 mg, often at least about 10 mg, and frequently at least about 15 mg. Exemplary larger individual capsules weigh less than about 75 mg, generally less than about 65 mg, and often less than about 55 mg. In a preferred embodiment, the capsules define a weight between about 20 grams and about 30 grams and a maximum dimension between about 3 mm and about 4 mm. The crush strength of the capsule objects 10 is sufficient to allow for normal handling and storage without a significant degree of premature or undesirable breakage. In particular, the crush strength of the outer shell 12 of the capsule objects 10 is sufficient to allow for normal handling and storage without a significant degree of premature and/or undesirable breakage. The crush strength of the capsule objects 10 also is sufficiently low so as to allow the tobacco product user to readily break a capsule object 10 in a purposeful manner when using the particular tobacco product that employs the capsule objects 10. Providing capsule objects 10 that possess both suitable integrity and ability to rupture can be determined by experimentation, depending upon factors such as capsule size and type, and may be a matter of design choice. See, for example, U.S. Pat. No. 7,479,098 to Thomas et al., which is incorporated herein by reference in its entirety. Capsule objects 10 may be incorporated within tobacco products and/or tobacco-related products such as, for example, filter elements, rods of tobacco, and/or within smokeless tobacco products such as a snuff or snus product. Examples of tobacco products including capsules are described in U.S. Pat. App. Pub. No. 2011/0271968 to Carpenter et al., U.S. Pat. No. 8,695,609 to Dube et al., U.S. Pat. No. 8,308,623 to Nelson et al., and U.S. Pat. No. 7,793,665 to Dube et al., each of which are incorporated herein by reference in their entireties. In this regard, FIG. 2 illustrates an exploded perspective view of a smoking article 20 (e.g., a filtered cigarette) that may include a capsule object 10 (see, e.g., FIG. 3) therein. In particular, the smoking article 20 may possess certain representative components of a smoking article according to various aspects of the present disclosure. In particular, the smoking article 20 may include a generally cylindrical rod 22 of a charge or roll of smokable filler material 24 (e.g., tobacco material) contained in a circumscribing wrapping material 26. The cylindrical rod 22 is conventionally referred to as a “tobacco rod.” Exemplary smokeable filler material 24 suitable for incorporation into the tobacco rod 22 can vary. For example, tobacco materials can be derived from various types of tobacco, such as flue-cured tobacco, burley tobacco, Oriental tobacco or Maryland tobacco, dark tobacco, dark-fired tobacco and Rustica tobaccos, as well as other rare or specialty tobaccos, or blends thereof. Descriptions of various types of tobaccos, growing practices, harvesting practices and curing practices are set for in Tobacco Production, Chemistry and Technology, Davis et al. (Eds.) (1999), which is incorporated herein by reference in its entirety. Most preferably, the tobaccos are those that have been appropriately cured and aged. Typically, tobacco materials for cigarette manufacture are used in a so-called “blended” form. For example, certain popular tobacco blends, commonly referred to as “American blends,” comprise mixtures of flue-cured tobacco, burley tobacco and Oriental tobacco. Such blends, in many cases, contain tobacco materials that have a processed form, such as processed tobacco stems (e.g., cut-rolled or cut-puffed stems), volume expanded tobacco (e.g., puffed tobacco, such as dry ice expanded tobacco (DIET), preferably in cut filler form). Tobacco materials also can have the form of reconstituted tobaccos (e.g., reconstituted tobaccos manufactured using paper-making type or cast sheet type processes). The precise amount of each type of tobacco within a tobacco blend used for the manufacture of a particular cigarette brand varies from brand to brand. See, for example, Tobacco Encyclopedia, Voges (Ed.) p. 44-45 (1984), Browne, The Design of Cigarettes, 3rd Ed., p. 43 (1990) and Tobacco Production, Chemistry and Technology, Davis et al. (Eds.) p. 346 (1999), which are incorporated herein by reference in their entireties. Other representative tobacco types and types of tobacco blends also are set forth in U.S. Pat. No. 4,836,224 to Lawson et al.; U.S. Pat. No. 4,924,888 to Perfetti et al.; U.S. Pat. No. 5,056,537 to Brown et al.; U.S. Pat. No. 5,220,930 to Gentry; U.S. Pat. No. 5,360,023 to Blakley et al.; U.S. Pat. No. 6,701,936 to Shafer et al.; U.S. Pat. No. 7,025,066 to Lawson et al.; PCT WO 02/37990; and Bombick et al., Fund. Appl. Toxicol., 39, p. 11-17 (1997); all of which are incorporated herein by reference in their entireties. Tobacco materials incorporated into the tobacco rod 22 typically are used in forms, and in manners, that are traditional for the manufacture of smoking articles 20. The tobacco normally is used in cut filler form (e.g., shreds or strands of tobacco filler cut into widths of about 1/10 inch to about 1/60 inch, preferably about 1/20 inch to about 1/35 inch, and in lengths of about ¼ inch to about 3 inches). The amount of tobacco material incorporated into the smokable filler material 24 of the tobacco rod 22 of the smoking article 20 may range from about 0.6 g to about 1 g. In some aspects, the amount of smokable filler material 24 normally employed is sufficient to fill the tobacco rod 22 at a packing density of about 100 mg/cm3 to about 300 mg/cm3, and often about 150 mg/cm3 to about 275 mg/cm3. If desired, the smokable filler material 24 of the tobacco rod 22 may further include other components. Other components include casing materials (e.g., sugars, glycerin, cocoa and licorice) and top dressing materials (e.g., flavoring materials, such as menthol). The selection of particular casing and top dressing components is dependent upon factors such as the sensory characteristics that are desired, and the selection of those components will be readily apparent to those skilled in the art of cigarette design and manufacture. See, for example, Gutcho, Tobacco Flavoring Substances and Methods, Noyes Data Corp. (1972) and Leffingwell et al., Tobacco Flavoring for Smoking Products (1972), which is incorporated herein by reference in its entirety. As shown in FIG. 2, the ends of the tobacco rod 22 are open to expose the smokable filler material 24. In some aspects, a first end of the tobacco rod 22 is the lighting end 21, and a longitudinally opposed second end may be positioned proximate a smoking article filter element 30. The smoking article 20 is shown having one optional printed band 28 on the circumscribing printed wrapping material 26. The printed band 28 may circumscribe the cylindrical tobacco rod 22 in a direction transverse to a longitudinal axis Y of the smoking article 20. That is, the printed band 28 provides a cross-directional region relative to the longitudinal axis Y of the smoking article 20. The printed band 28 can be printed on the inner surface of the wrapping material 26 (i.e., facing the smokable filler material 24) or on the outer surface of the wrapping material 26. Although the smoking article 20 can possess a wrapping material having one optional band, the cigarette also can possess wrapping material 26 having further optional spaced bands numbering two, three, or more. The wrapping material 26 of the tobacco rod 22 can have a wide range of compositions and properties. The selection of a particular wrapping material 26 will be readily apparent to those skilled in the art of cigarette design and manufacture. Tobacco rods 22 can have one layer of wrapping material 26; or tobacco rods 22 can have more than one layer of circumscribing wrapping material 26, such as is the case for the so-called “double wrap” tobacco rods. Exemplary types of wrapping materials, wrapping material components and treated wrapping materials are described in U.S. Pat. No. 5,220,930 to Gentry; U.S. Pat. No. 6,997,190 to Stokes et al.; U.S. Pat. No. 7,195,019 to Hancock et al.; and U.S. Pat. No. 7,276,120 to Holmes et al., which are incorporated herein by reference in their entireties. The smoking article 20 may include a filter element 30 positioned adjacent one end of the tobacco rod 22 such that the filter element and tobacco rod are axially aligned in an end-to-end relationship, preferably abutting one another. Filter element 30 may have a generally cylindrical shape, and the diameter thereof may be essentially equal to the diameter of the tobacco rod 22. The ends of the filter element 30 permit the passage of air and smoke therethrough. The filter element 30 includes filter material 32 (e.g., plasticized cellulose acetate tow) that is over-wrapped along the longitudinally extending surface thereof with circumscribing plug wrap material 34. In some embodiments, the filter element 30 can be attached to the tobacco rod 22 by tipping material 36, which circumscribes both the entire length of the filter element 30 and an adjacent region of the tobacco rod 22. The inner surface of the tipping material 36 is fixedly secured to the outer surface of the plug wrap 34 and the outer surface of the wrapping material 26 of the tobacco rod 22, using a suitable adhesive; and hence, the filter element 30 and the tobacco rod 22 are connected to one another. A ventilated or air diluted smoking article can be provided with an optional air dilution means, such as a series of perforations 38. According to some aspects, each of the perforations 38 may extend through the tipping material 36 and the plug wrap 34. For example, pre-perforated tipping material 36 and porous plug wrap 34 can be employed, or the filter element 30 can be provided with a circumscribing ring of laser perforations. According to some aspects, the filter element 30 can have two or more filter segments (not shown), and/or additives incorporated therein such as via the capsule object 10 (see, e.g., FIG. 3), as described in greater detail herein. The tobacco rod 22, the filter element 30, and the smoking article 20 resulting from the combination thereof can be manufactured using various types of conventional cigarette and cigarette component manufacturing techniques and equipment, without extensive modification to certain of those conventional techniques and equipment. See, for example, the types of cigarette making equipment set forth in U.S. Pat. No. 7,275,549 to Hancock et al. and U.S. Pat. No. 7,276,120 to Holmes et al., which are incorporated herein by reference in their entireties. Certain aspects of the manners and methods suitable for the commercial production of cigarettes of the present invention using the tobacco rods, filter elements, and other components described herein will be readily apparent to those skilled in the art of cigarette manufacture. As illustrated in FIG. 3, the filter element 30 of the smoking article 20 may include the capsule object 10 therein. In this regard, the capsule object 10 may be disposed within the filter material 32. For example, the filter element 30 of the smoking article 20 incorporating the capsule object 10 disposed therein may be manufactured using conventional cigarette and cigarette component manufacturing techniques and equipment as set forth in U.S. Pat. No. 7,479,098 to Thomas et al., which is incorporated by reference herein in its entirety. Although FIG. 3 illustrates a filter element 30 including a single capsule object 10, the smoking article 20 can possess any number of capsule objects 10 therein, and may further include optional capsule objects 10 numbering two, three, or more. According to some aspects, the filter element 30 may include a plurality of capsule objects 10 positioned along the length of the filter element 30. For example, a filter element 30 may include a plurality of capsule objects 10 arranged serially along the longitudinal axis of the smoking article 20 (i.e., along the longitudinal axis of the filter element 30) in substantially equal intervals. According to another aspect, the filter element 30 may include a plurality of capsule objects 10 dispersed throughout the filter material 32, wherein one capsule object is disposed at a known location with respect to ends of the filter element 30 and the remainder of the capsule objects are disposed at random intervals. During the manufacture of a tobacco product that includes the capsule object 10, such as the smoking article 20 illustrated in FIGS. 2 and 3, it may be desirable to inspect the tobacco product before packaging and transporting the tobacco product for sale. For example, it may be desirable to inspect and/or test the tobacco product for quality assurance. In some aspects, it may be desirable to inspect and/or test a randomized sample of the tobacco product that includes the capsule object 10 therein for quality assurance. For example, it may be desirable to randomly select a sample of smoking articles 20 that include the capsule object 10 therein so as to determine a rupture point of the capsule object 10 disposed within the filter material 32 of the filter element 30. Although various embodiments and aspects herein describe a capsule object rupture testing system configured to receive a plurality of smoking articles 20 that include a smoking article filter element 30 having a capsule object 10 disposed therein and configured to determine the rupture point of the capsule object 10 disposed within the filter element 30 of the smoking article 20, additional or alternative aspects of the present disclosure may provide for a capsule object rupture testing system configured to receive, process, and/or manipulate solely a plurality of smoking article filter elements 30, which include a capsule object 10 disposed therein, that have not been joined to a respective tobacco rod 22 and configured to determine the rupture point of the capsule object 10. That is, one of ordinary skill may appreciate the capsule object rupture testing system may be configured to determine a rupture point of a capsule object 10 disposed within a smoking article filter element 30 that has not been attached to a tobacco rod 22 of a smoking article 20 in a previous manufacturing process. Accordingly, some aspects of the present disclosure may advantageously provide for minimizing wasteful disposal of tobacco rods 22 as the smoking article filter elements 30 are not attached to a corresponding tobacco rod 22 so as to form a final tobacco product (i.e., the smoking article 20) when the system determines the rupture point of the capsule object 10. According to some aspects where a capsule object rupture testing system is configured to receive a smoking article 20 that includes a capsule object 10, those aspects may advantageously provide for testing a final tobacco product (i.e., the smoking article 20) that includes the capsule object 10 so as to ensure high-quality, final tobacco products are manufactured and distributed to consumers. In this regard, FIGS. 4A-4D illustrate a schematic diagram of a capsule object rupture testing system 100 configured to determine a rupture point of a capsule object 10 disposed within a smoking article filter element 30 that has been attached to a corresponding tobacco rod 22 (see, e.g., FIG. 3) to form a smoking article 20 having a capsule object 10. According to some embodiments, the capsule object rupture testing system 100 may include a positioning channel 110 configured to receive at least one smoking article 20 that includes a capsule object 10 disposed therein. In some aspects, the positioning channel 110 may define a testing position disposed between a proximal end 111 and a distal end 112 of the positioning channel 110. Further, the positioning channel 110 may define a longitudinal axis X of the capsule object rupture testing system 100 that extends from the proximal end 111 to the distal end 112 of the positioning channel 110. As shown in FIGS. 4A-4D, the positioning channel 110 may be configured to receive the plurality of smoking articles 20. In particular, FIG. 4A illustrates a first, second, third and fourth smoking article 20A, 20B, 20C, 20D arranged within the positioning channel 110 from the distal end 112 to the proximal end 111 of the positioning channel respectively. Additionally, the first, second, third, and fourth smoking articles 20A, 20B, 20C, 20D may be disposed within the positioning channel 110 such that the first, second, third, and fourth smoking articles 20A, 20B, 20C, 20D are axially aligned with respect to one another and disposed in an end-to-end relationship, preferably abutting one another. For example, the lighting end 21 of the tobacco rod 22B of a second smoking article 20B may abut the filter element 30A of an adjacent first smoking article 20A disposed in a testing position within the positioning channel 110. Although FIGS. 4A-4D illustrate the positioning channel 110 configured to receive four smoking articles (e.g., first, second, third, and fourth smoking articles 20A, 20B, 20C, 20D), one of ordinary skill in the art will appreciate that the positioning channel 110 may be configured to receive any number of smoking articles or even a single smoking article. The capsule object rupture testing system 100 includes a rupturing device 130. As shown in FIGS. 4A-4D, the rupturing device 130 may define a vertical axis Z of the capsule object rupture testing system 100 that extends perpendicularly to the longitudinal axis X defined by the positioning channel 110. The rupturing device 130 may include an actuating element 132 configured to move along a direction parallel to the vertical axis Z. In particular, the actuating element 132 may be configured to operably engage the smoking article filter element 30 and/or the capsule object 10 disposed therein by moving along a direction along the vertical axis Z and towards the smoking article filter element 30 of a smoking article 20 disposed in the testing position. In this regard, the testing position may be defined as the position of a smoking article 20 within the positioning channel 110 where an expected position of the capsule object 10 disposed within the smoking article filter element 30 is aligned with the vertical axis Z of the actuating element 132. Accordingly, when the actuating element 132 moves towards the smoking article 20 to operably engage the smoking article filter element 30 and/or the capsule object 10 disposed therein, that actuating element 132 may deform at least a portion of the smoking article filter element 30 and/or the capsule object 10 disposed therein when the actuating element 132 operably engages the smoking article 20. For example, as shown in FIGS. 4A and 4B, when the first smoking article 20A is disposed in the testing position and the actuating element 132 moves towards the first smoking article 20A to operably engage the first smoking article filter element 30A and/or the capsule object 10A disposed therein, the expected position of the capsule object 10A is aligned with the vertical axis Z of the actuating element 132. As such, as shown in FIG. 4B, when the actuating element 132 operably engages the first smoking article filter element 30A, at least a portion of the first smoking article filter element and/or the first capsule object 10A disposed therein is deformed such that a rupture point of the capsule object 10A is determined. Additionally, the rupturing device 130 may further include a measuring element 134 operably engaged with the actuating element 132. For example, the measuring element 134 may be configured determine a deformation measurement associated with the actuating element 132 operably engaging at least one smoking article filter element 30 and/or a capsule object 10 of a smoking article 20 disposed in the testing position. For example, as shown in FIG. 4B, when the actuating element 132 operably engages and/or deforms a portion of the smoking article filter element 30A and/or capsule object 10A disposed in the testing position, the measuring element 134 may be configured to measure the deformation associated with the actuating element 132 deforming the smoking article filter element 30A and/or capsule object 10A disposed therein. According to some aspects, the measuring element 134 may be configured to measure a response force associated with the actuating element 132 operably engaging the at least one smoking article filter element 30 and/or a capsule object 10 disposed therein of a smoking article 20 disposed in the testing position within the positioning channel 110. For example, the measuring element 134 may be configured to measure a stress force within the actuating element 132 induced by the actuating element 132 operably engaging the smoking article filter element 30 and/or the capsule object 10 disposed therein. In some aspects, the actuating element 132 may include a strain gauge and/or the like that is in communication with the measuring element 134. Additionally or alternatively, the measuring element 134 may be configured to measure a change and/or transition in the response force associated with the actuating element 132 operably engaging the at least one smoking article filter element 30 and/or capsule object 10 disposed therein of the smoking article 20 disposed in the testing position within the positioning channel 110. According to some aspects, the measuring element 134 may be configured to measure an increasing response force as the actuating element 132 operably engages and continues to extend in a direction towards the at least one smoking article filter element 30 and/or the capsule object 10 disposed therein. Additionally, the measuring element 134 may be configured to measure a sudden change in the magnitude of the response force (e.g., a sudden drop in the magnitude of the response force) associated with the actuating element 132 as the actuating element 132 operably engages the smoking article filter element 30 and/or the capsule object 10 disposed therein. In some aspects, a response force transition (e.g., a sudden drop in the magnitude of the response force) may be attributed to the outer shell 12 of the capsule object 10 disposed within the smoking article filter element 30 rupturing, breaking, and/or otherwise losing structural integrity. According to some aspects, the actuating element 132 may be configured to operably engage the at least one smoking article filter element 30 and/or capsule object 10 of a smoking article 20 disposed in the testing position within the positioning channel 110 until a response force transition is measured by the measuring element 134, as described in greater detail herein. Additionally or alternatively, the measuring element 134 may be configured to measure a deformation distance, velocity, and/or acceleration of the actuating element 132 associated with the actuating element 132 operably engaging at least one smoking article filter element 30 and/or capsule object 10 disposed therein of a smoking article 20 disposed in the testing position within the positioning channel 110. In particular, the measuring element 134 may be configured to measure a distance the actuating element 132 travels after the actuating element 132 begins to operably engage the smoking article filter element 30 and/or capsule object 10 disposed therein. In some aspects, the measuring element 134 may be configured to measure a velocity of the actuating element 132 after the actuating element 132 begins to operably engage and/or while the actuating element 132 is operably engaging the smoking article filter element 30 and/or capsule object 10 disposed therein. According to some aspects, the measuring element 134 may be configured to measure any accelerations of the actuating element 132 after the actuating element 132 begins to operably engage the smoking article filter element 30 and/or capsule object 10 disposed therein. More particularly, the measuring element 134 may be configured to measure a change in the velocity or acceleration of the actuating element 132 as the actuating element 132 operably engages the smoking article filter element 30. Additionally, the actuating element 132 may be configured to operably engage the at least one smoking article filter element 30 disposed in the testing position until a deformation transition occurs. For example, the actuating element 132 may be configured to operably engage the at least one smoking article filter element 30 disposed in the testing position until a deformation acceleration transition and/or a deformation velocity transition occurs, as described in greater detail herein. According to some aspects, the capsule object rupturing testing system 100 further includes an analysis unit 140 configured to determine a rupture point of the capsule object 10 disposed within the smoking article filter element 30 when the actuating element 132 operably engages the smoking article filter element 30 and/or capsule object 10. As shown in FIGS. 4A-4D, the analysis unit 140 may be operably engaged with and/or in communication with the rupturing device 130. For example, the analysis unit 140 may be configured to receive and/or analyze electrical signals transmitted by the rupturing device 130 via a network 70. In particular, the analysis unit 140 may be configured to receive and/or analyze data captured by the measuring element 134 of the rupturing device 130 as the actuating element 132 operably engages the smoking article filter element 30 and/or the capsule object 10. Additionally, the analysis unit 140 may be further configured to determine whether the capsule object 10 disposed within the filter material 32 of the at least one smoking article filter element 30 is defective. For example, the analysis unit 140 may be configured to receive electrical signals transmitted by the rupturing device 130 corresponding to the measurements and/or data captured by the measuring element 134. Further, the analysis unit 140 may be configured to compare the captured data with data corresponding to expected values of various properties of the capsule object 10 to determine whether the capsule object 10 is defective. According to some aspects, the analysis unit 140 may be configured to analyze a deformation measurement obtained by the rupturing device 130 to determine a rupture point of the capsule object 10 disposed within the smoking article filter element 30. For example, the measuring element 134 may be configured to transmit data corresponding to a deformation measurement (e.g., a deformation velocity or acceleration transition as the actuating element 132 operably engages the smoking article filter element 30) to the analysis unit 140 via the network 70. In some embodiments, the measuring element 134 may be configured to transmit data corresponding to a measurement of the change in the response force as the actuating element 132 operably engages the smoking article filter element 30 to the analysis unit 140 via the network 70. Additionally, the analysis unit 140 may be configured to analyze the deformation measurement obtained by the rupturing device 130 so as to determine the rupture point of the capsule object 10 disposed within the smoking article filter element 30 of the smoking article 20. Additionally or alternatively, the analysis unit 140 may be configured to transmit and/or receive electrical signals to and/or from the positioning device 120, as described in greater detail herein. As shown in FIGS. 4A-4D, the capsule object rupture testing system 100 further includes a smoking article positioning device 120 disposed proximate a proximal end 111 of the positioning channel 110. The positioning device 120 may be configured to operably engage at least one smoking article 20 disposed within the positioning channel 110. In particular, as shown in FIGS. 4B and 4C, the positioning device 120 may include a positioning rod 122 that extends along a direction parallel to the longitudinal axis X and configured to operably engage the smoking element 20D disposed proximate to the proximal end 111 of the positioning channel 110. For example, the positioning rod 122 may be configured to extend along longitudinal axis X towards the distal end 112 of the positioning channel 110. In some aspects, as shown in FIGS. 4B and 4C, the positioning rod 122 may be configured to extend a predetermined distance along the positioning channel 110 and towards the distal end 112 of the positioning channel 110 so as to position a particular smoking article 20 at the testing position within the positioning channel 110. For example, FIGS. 4A and 4B illustrate a positioning rod 122 of a smoking article positioning device 120 disposed in a first position. After the capsule object rupture testing system 100 has determined the rupture point of a capsule object 10A of a first smoking article 20A, the positioning rod 122 may extend along the predetermined distance towards the distal end 112 of the positioning channel 110, as shown in FIG. 4C, thereby operably engaging a smoking article (e.g., the fourth smoking article 20D) disposed proximate the positioning rod 122. In particular, the positioning rod 122 may operably engage the smoking article filter element 30D of the fourth smoking article 20D by extending a predetermined distance along the positioning channel 110 until the positioning rod 122 is disposed in a second position. According to some aspects, when the positioning rod 122 extends the predetermined distance along the positioning channel 110 towards the second position and operably engages the smoking article 20 disposed proximate the positioning rod 122 (e.g., the fourth smoking article 20D), the first smoking article 20A is displaced from the testing position by the movement of the smoking articles (e.g., the second, third, and fourth smoking articles 20B, 20C, 20D), disposed between the first smoking article 20A and the positioning rod 122, towards the distal end 112 of the positioning channel 110. Accordingly, the first smoking article 20A disposed in the testing position, as shown in FIG. 4A, is displaced by positioning a second smoking article 20B to the testing position via the positioning rod 122 operably engaging the smoking articles (e.g., the fourth smoking article 20D) disposed between the second smoking article 20B and the positioning rod 122. As shown in FIGS. 4A-4D, the analysis unit 140 may be configured to transmit electrical signals to the positioning device 120 and/or receive electrical signals from the positioning device 120 via the network 70. In some aspects, the analysis unit 140 may be configured to transmit a signal to the positioning device 120 after the analysis unit 140 has analyzed the deformation measurement obtained by the rupturing device 130 and/or determined a rupture point of the capsule object 10 disposed within the smoking article filter element 30. For example, the analysis unit 140 may be configured to transmit a signal to the positioning device 120 that corresponds with instructions for the positioning rod 122 to move from the first position, as shown in FIG. 4B, to the second position, as shown in FIG. 4C. Additionally or alternatively, the analysis unit 140 may be configured to transmit electrical signals to a dispensing device 152 and/or receive electrical signals from the dispensing device 152. According to some aspects, the capsule object rupture testing system 100 may include a smoking article filter element repository 150 configured to retain, handle and/or store a plurality of smoking articles 20 that include a capsule object 10 therein without any significant degree of premature and/or undesirable breakage of the outer shell 12 of the capsule objects 10. In some aspects, the smoking article filter element repository 150 may define an orifice 151 configured to allow a smoking article 20 to pass therethrough. In one aspect, gravity may urge the smoking articles 20 though the orifice 151 defined by smoking article filter element repository 150. Additionally or alternatively, the smoking article filter element repository 150 may include a rotatable arm within the interior volume of the smoking article filter element repository 150 or other actuator configured to urge the smoking articles 20 that include the capsule object 10 therein through the orifice 151. In some aspects, the capsule object rupture testing system 100 includes a dispensing device 152 operably engaged with the smoking article filter element repository 150. In particular, the orifice 151 defined by the smoking article filter element repository 150 may be in communication with the dispensing device 152. The dispensing device 152 may be configured to introduce at least one smoking article 20 that includes the capsule object therein to the positioning channel 110. For example, as shown in FIG. 5, the dispensing device 152 may include a cylinder configured to rotate about a longitudinal axis A and having a generally circumferential peripheral surface. The peripheral circumferential surface may define a plurality of dispensing channels 154. Additionally, the orifice 151 defined by the smoking article filter element repository 150 may be in communication with the peripheral surface of the dispensing device 152 that defines the plurality of dispensing channels 154. Accordingly, when the dispensing device rotates about the longitudinal axis A and a dispensing channel 154 is aligned with the orifice defined by the smoking article filter element repository 150, a smoking article 20 and/or a smoking article filter element 30 may be transported from the repository 150 to the dispensing channel 154. According to some aspects, the plurality of channels 154 defined by the peripheral surface of the dispensing device 152 may be in fluid communication with a vacuum source configured to apply a suction force to each of the plurality of dispensing channels 154. As such, when a dispensing channel 154 aligns with the orifice of the smoking article filter element repository 150, the suction force provided by the vacuum source urges a smoking article 20 and/or a smoking article filter element 30 from the repository 150 and into the dispensing channel 154. In some aspects, the dispensing device 152 may also be configured to eject the smoking article 20 and/or the smoking article filter element 30 from a particular channel 154 when the channel 154 is positioned to dispense the smoking article 20 and/or smoking article filter element 30 to the positioning channel 110. For example, the dispensing device 152 may be configured such that when a dispensing channel 154 is vertically aligned with the positioning channel 110 (i.e., the dispensing channel 154 and the positioning channel 110 are in communication with each other), the vacuum source operably engaged with the dispensing device 152 ceases providing the suction force to the particular dispensing channel 154 vertically aligned with the positioning channel 110, thereby dispensing the smoking article 20 and/or the smoking article filter element 30 with the capsule object 10 disposed therein to the positioning channel 110. In another aspect, the dispensing device 152 may be configured such that when the dispensing channel 154 is vertically aligned with the positioning channel 110 (i.e., the dispensing channel 154 and the positioning channel 110 are in communication with each other), a fluid source operably engaged with the dispensing device 152 provides a fluid (e.g., compressed air) to the particular dispensing channel 154 vertically aligned with the positioning channel 110. In some aspects, a vacuum source may be continuously provided to each of the dispensing channels 154, regardless of the position of the dispensing channel 154, and a fluid source may be configured to provide a fluid (e.g., compressed air) to the particular dispensing channel 154 vertically aligned with the positioning channel 110, thereby providing a force sufficient to overcome the suction force provided by the vacuum source. In some aspects, the dispensing device 152 may be configured to dispense and provide the smoking article 20 and/or the smoking article filter element 30 to the positioning channel 110 at predetermined intervals. In this regard, the dispensing device 152 may be configured to rotate about its longitudinal axis A at a constant speed. The plurality of dispensing channels 154 may be arranged at equal angular intervals along the peripheral circumferential surface of the dispensing device 152. Accordingly, the rotational speed of the dispensing device 152 about the longitudinal axis A defines the timing intervals between the smoking articles 20 and/or the smoking article filter elements 30 being introduced to the positioning channel 110. For example, if the rotational speed of the dispensing device 152 increases, the timing intervals between the introductions of the smoking articles 20 and/or the smoking article filter elements 30 decreases. Likewise, if the rotational speed of the dispensing device 152 decreases, the timing intervals between the introductions of each of the smoking articles 20 and/or the smoking article filter elements 30 will increase. When the dispensing device 152 rotates about the longitudinal axis A at a constant speed, the timing intervals between each of the smoking articles 20 and/or smoking article filter elements 30 being introduced to the positioning channel 110 will be equal. In this regard, the analysis unit 140 may be configured to transmit electrical signals to the dispensing device 152 and/or receive electrical signals from the dispensing device 152 via the network 70 that correspond with instructions for rotating the dispensing device 152 at a particular rotational speed. In some aspects, the analysis unit 140 may be configured to transmit an electrical signal to the dispensing device 152 to rotate about the longitudinal axis A after the analysis unit 140 has determined the rupture point of the capsule 10 disposed in the testing position, as shown in FIG. 4B and/or after the analysis unit 140 has transmitted an electrical signal to the positioning device 120 to extend along towards the distal end 111 of the positioning channel 110, thereby operably engaging and displacing the smoking article disposed proximate the positioning element 122. Various aspects of the present disclosure may also provide a method of determining a rupture point of a capsule object disposed within a smoking article filter element. For example, FIG. 7 illustrates such a method 700 for determining a rupture point of a capsule object 10, which includes an outer shell 12 and an inner payload 14, disposed within a smoking article filter element 30. According to one aspect, the method 700 for determining a rupture point of a capsule object may include dispensing at least one smoking article filter element to a positioning channel (Block 702). For example, a rotatable arm within the smoking article filter element repository 150 may urge the smoking articles 20 through an orifice of the repository 150 that is in communication with and/or operably engaged with a dispensing channel 154 of the dispensing device 152. The dispensing device 152 may rotate about its longitudinal axis A until the smoking article 20 disposed in the dispensing channel 154 is aligned with and dispensed to the positioning channel 110. In some aspects, the method 700 may further include positioning at least one smoking article filter element and a capsule object disposed within the filter material to a testing position (Block 704). For example, a positioning rod 122 of a positioning device 120 may extend along and towards the distal end 112 of the positioning channel 110 thereby operably engaging at least one smoking article 20 and/or smoking article filter element 30 with a capsule object 10 disposed therein. In particular, the positioning rod 122 may extend a predetermined distance along and towards the distal end 112 of the positioning channel 110 to urge the smoking article 20 and/or smoking article filter element 30 with the capsule object 10 disposed therein in the same direction. Additionally, the method 700 may include the positioning rod 122 extending along a direction parallel to the longitudinal axis X of the positioning channel 110. In some aspects, the positioning rod 122 may be operably engaged with a second smoking article 20B via the smoking articles 20C, 20D disposed therebetween, as particular shown in FIG. 4C. As such, the positioning device 120 may urge the second smoking article filter element 30B and/or the capsule object 10B disposed therein to the testing position by operably engaging a smoking article 20D disposed proximate to the positioning rod 122. According to some aspects, the method 700 may include engaging an actuating element of a rupturing device with the smoking article filter disposed in the testing position (Block 706). The testing position may be configured to align the actuating element with an expected position of the capsule object within the smoking article filter element. When the positioning rod 122 extends along and towards the distal end 112 of the positioning channel 110 to operably engage with the smoking article 20D disposed proximate the positioning rod 122, the positioning rod 122 directs at least one smoking article (e.g., the second smoking article 20B) to the testing position by extending a predetermined distance. By extending the predetermined distance, the positioning rod 122 urges the particular smoking article (e.g., the second smoking article 20B) to a testing position where the expected location of the capsule object 10B disposed within the smoking article filter element 30B is aligned with the actuating element 132 of the rupturing device 130. The method 700 may further include measuring a deformation measurement associated with a deformation of the smoking article filter element with a measuring element of the rupturing device (Block 708). For example, a measuring element 134 of a rupturing device 130 may be configured to measure a deformation measurement associated with an actuating element 132 being operably engaged with a smoking article filter element 30 and/or a capsule object 10 disposed therein. In particular, the measuring element 134 may obtain a deformation measurement such as a response force and/or a change in the response force associated with the actuating element 132 being operably engaged with the smoking article filter element 30 and/or the capsule object 10 disposed therein. In some aspects, the measuring element 134 may obtain a deformation measurement such as a deformation distance, velocity, and/or acceleration of the actuating element 132 associated with the actuating element 132 being operably engaged with the smoking article filter element 30 and/or capsule object 10 disposed therein. According to some aspects, the method 700 may further include determining a rupture point of the capsule object disposed within the filter element of the at least one smoking article filter element disposed in the testing position (Block 710). For example, the measuring element 134 of the rupturing device 130 may be configured to transmit electrical signal(s) corresponding to deformation measurement(s) to an analysis unit 70. In particular, the measuring element 134 may transmit a plurality of response force measurements obtained over an interval of time as the actuating element 132 extends towards the smoking article filter element 30 and/or becomes operably engaged with the smoking article filter element 30 and/or the capsule object 10 disposed therein. As such, the analysis unit 70 may identify a transition in the response force that corresponds to the rupture point of the capsule object. For example, the response force associated with the actuating element 132 being operably engaged with the smoking article filter element 30 and/or the capsule object 10 disposed therein may increase as the capsule object 10 resists rupturing. Once the force of the actuating element 132 being operably engaged with the smoking article filter element 30 and/or the capsule object 10 disposed therein exceeds a threshold and the capsule object 10 ruptures, the response force associated with the actuating element 132 being operably engaged with the capsule object 10 will decrease thereby indicating the capsule object 10 has ruptured. According to yet another aspect, the method 700 may further include disengaging the actuating element of the rupturing device from the smoking article filter element disposed in the testing position after detecting a deformation transition corresponding to the rupture of the capsule object (Block 712). For example, the actuating element 132 may revert back to a first position before the actuating element 132 became operably engaged with the next smoking article filter element 30 and/or capsule object 10 disposed within the smoking article 20 disposed in the testing position. Referring to FIG. 8, an apparatus 800 is provided that may be employed by devices performing functions in accordance with example aspects of the present disclosure. The apparatus 800 may be embodied, for example, as any device hosting, including, controlling, comprising, or otherwise forming a portion of the rupturing device 130, the positioning device 120, and/or the analysis unit 140. According to one aspect, the apparatus 800 may be embodied in or as the analysis unit 140. However, aspects of the apparatus 800 may also be embodied on a plurality of other devices such as, for example, where instances of the apparatus may be embodied on the network 70. As such, one aspect of the apparatus 800 illustrated in FIG. 8 is merely an example and may include more, or in some cases, less than the components shown in FIG. 8. With further regard to FIG. 8, the apparatus 800 may be configured to analyze the deformation measurement obtained by the measuring element 134 of the rupturing device 130 and/or other data captured by the capsule object rupture testing system 100. As depicted in FIG. 8, the apparatus 800 may include or otherwise be in communication with a processor 802, a memory device 804, a communication interface 806, a user interface 808, and/or a strain analysis module 810. The memory device 804 may include non-transitory and tangible memory that may be, for example, volatile and/or non-volatile memory. The memory device 804 may be configured to store information, data, files, applications, instructions or the like. For example, the memory device 804 could be configured to buffer input data for processing by the processor 802. Additionally or alternatively, the memory device 804 could be configured to store instructions for execution by the processor 802. The apparatus 800 may, in some aspects, be a user terminal, a fixed communication device, and/or a computing device, such as a server configured to employ an example aspect of the present disclosure. However, according to some aspects, the apparatus 800 may be physically embodied as a chip or a chip set. The chip or chipset may constitute means for performing one or more operations for providing the functionalities described herein. The processor 802 may be embodied in a number of different ways. For example, the processor 802 may be embodied as one or more of various processing means such as a coprocessor, a microprocessor, a controller, a digital signal processor (DSP), processing circuitry, or various other processing devices including integrated circuits such as, for example, a special-purpose computer chip, or other hardware processor. In an example aspect, the processor 802 may be configured to execute instructions stored in the memory device 804 or otherwise accessible to the processor 802. Additionally or alternatively, the processor 802 may be configured to execute hard coded functionality. As such, the processor 802 may be capable of performing operations according to aspects of the present disclosure while configured accordingly. Alternatively, when the processor 802 is embodied as an executor of software instructions, the instructions may specifically configure and/or cause the processor 802 to perform the operations described herein. The processor 802 may include a clock, an arithmetic logic unit (ALU), and/or logic gates that are configured to support operation of the processor 802, amongst other components. The communication interface 806 may be any means such as a device or circuitry embodied in either hardware, software, or a combination thereof that is configured to receive and/or transmit data. In this regard, the communication interface 806 may include, for example, an antenna and supporting hardware and/or hardwired components and/or software. Accordingly, the communication interface 806 may provide for communication with external devices, such as the network 70, the rupturing device 130, the actuating element 132, the measuring element 134, and/or the positioning device 120. In some aspects, the communication interface 806 may provide for communication with additional portions of the system 100, such as the dispensing device 152. In some aspects, a communication interface 806 may provide for transmitting and/or receiving data through, for example, a wired or wireless network 70, such as a local area network (LAN), a metropolitan area network (MAN), and/or a wide area network (WAN), for example, the Internet. In some aspects, the apparatus 800 further includes a user interface 808. The user interface 808 may be in communication with the processor 802. For example, the user interface 808 may receive an indication of a user input at the user interface 808 and/or provide an audible/visible, mechanical (e.g., haptic), and/or other output to the user. As such, the user interface 808 may include, for example, a keyboard, a mouse, a joystick, a monitor or display, a touch screen, a microphone, a speaker, and/or any other suitable input/output mechanisms for performing the operations described herein. The processor 802 may be configured to control at least some functions of one or more elements of the user interface 808. According to some aspects, the apparatus 800 may further include a strain analysis module 810. The processor 802 may be configured to control at least some functions of one or more elements of the strain analysis module 810. The strain analysis module 810 may be configured to execute a strain analysis tool configured to determine a rupture point of a capsule object 10 disposed within a smoking article filter element 30. For example, the strain analysis module 810 may be configured to execute a strain analysis tool configured to determine a rupture point of a capsule object 10 based on data such as, for example, a response force associated with an actuating element 132 operably engaging one of the smoking article filter elements 30 disposed in the testing position. The various features of the described aspects of the present disclosure can be used separately or in any combination. Various aspects described herein can be implemented by software, hardware or a combination of hardware and software. The described aspects can also be implemented as computer-readable program code portions on a computer readable storage medium for controlling and/or performing the above-described operations. In this regard, a computer readable storage medium, as used herein, refers to a non-transitory, physical storage medium (e.g., a volatile or non-volatile memory device), which can be read by a computer system. Examples of computer readable storage medium include read-only memory, random-access memory, CD-ROMs, DVDs, magnetic tape, optical data storage devices and/or the like. The computer readable storage medium can also be distributed over network-coupled computer systems so that the computer-readable program code portions are stored and executed in a distributed fashion. Many modifications and other aspects of the disclosure set forth herein will come to mind to one skilled in the art to which the disclosure pertains having the benefit of the teachings presented in the foregoing description and the associated drawings. Therefore, it is to be understood that the disclosure is not to be limited to the specific aspects disclosed and that modifications and other aspects are intended to be included within the scope of the appended claims. Moreover, although the foregoing description and the associated drawings describe example aspects in the context of certain example combinations of elements and/or functions, it should be appreciated that different combinations of elements and/or functions may be provided by alternative aspects without departing from the scope of the appended claims. In this regard, for example, different combinations of elements and/or functions than those explicitly described above are also contemplated as may be set forth in some of the appended claims. Although specific terms are employed herein, they are used in a generic and descriptive sense only and not for purposes of limitation.",A24C5343,A24C5343,20160121,,20170727,95839.0 18,14905264,PENDING,ACCESS NETWORK SELECTION CONDITIONED BY CELLULAR ACCESS TECHNOLOGY,"One embodiment relates to a method of selecting an access network via a mobile terminal. The mobile terminal may obtain, from a device for selecting access networks, at least one rule for selecting access networks which ranks, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one noncellular access network. The terminal may detect at least one wireless noncellular access network and at least one cellular access network to which networks the mobile terminal can attach and select the highest priority access network, from among the access networks detected, according to the at least one selecting rule applied as a function of the cellular access technology of the cellular access network detected.","1. A method for selecting an access network via a mobile terminal, the method comprising: obtaining, originating from a selection device of access networks, at least one selection rule of access networks ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network; detecting at least one wireless non-cellular access network and at least one cellular access network to which the mobile terminal can be attached; and selecting the highest-priority access network, from the detected access networks, according to said at least one selection rule applied as a function of the cellular access technology of the detected cellular access network. 2. The method according to claim 1, wherein the mobile terminal obtains a plurality of selection rules comprising at least one selection rule ranking a cellular access network using the first cellular access technology relative to at least one of said non-cellular access networks and a selection rule ranking a cellular access network using the second cellular access technology relative to at least one of said non-cellular access networks, the method further comprising the selection, from said selection rules, of a selection rule to be applied during selection of the access network as a function of the cellular access technology of the detected cellular access network. 3. The method according to claim 2, wherein each of said selection rules comprises a validity indicator designating the cellular access technology used by the cellular access network ranked in said selection rule, the selection of the access network being carried out by applying the selection rule whereof the validity indicator corresponds to the access technology used by the detected cellular access network. 4. The method according to claim 2, wherein each of said selection rules comprises a validity indicator designating a rate interval for which said rule is applicable, the method further comprising determination by the mobile terminal of a transmission rate available by means of the cellular access technology used by the detected cellular access network, the selection of the access network being carried out by applying the rule selection whereof the rate interval comprises the determined transmission rate. 5. The method according to claim 2, wherein said selection rules are ranked according to an order of priority determined by the selection device, the highest-priority selection rule being used by the mobile terminal when it selects an access network from a plurality of detected cellular access networks. 6. The method according to claim 1, wherein said at least one selection rule consists of a single rule of selection ranking, by order of priority, all of said access networks. 7. The method according to claim 1, wherein the order of priority of the access networks ranked in said at least one selection rule is a function of the rates respectively associated with the access technologies used by said access networks. 8. The method according to claim 1, wherein the order of priority of the access networks ranked in said at least one selection rule is a function of congestion information transmitted to the selection device of access networks by access points of said access networks. 9. The method according to claim 1, wherein the selection process is conducted after determination of a change in cellular access technology used by one of the detected cellular networks. 10. The method according to claim 1 wherein the cellular access technologies are one of the following: 2G (GERAN), 3G (UTRAN) and 4G (E-UTRAN). 11. The method according to claim 1, wherein the cellular access technologies are one of the following: IS95A, IS95B, EDGE, GPRS, HSDPA, HSPA, HSPA+, HSUPA, LTE and UMTS. 12. A method for assisting selection, by a mobile terminal, of an access network to be used to access a communication network, comprising: generating at least one selection rule of access network ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology; and sending said at least one selection rule to the mobile terminal, said at least one selection rule being used by said mobile terminal during selection of an access network to which it can be attached. 13. A mobile terminal capable of receiving, originating from a selection device of access networks, at least one selection rule of access network ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology, wherein said mobile terminal comprises a processing module configured to select, from at least one wireless non-cellular access network and at least one cellular access network detected by said mobile terminal, the highest-priority access network according to said at least one selection rule applied as a function of the cellular access technology of the detected wireless access network. 14. A device for selecting access networks, arranged to send at least one selection rule to a mobile terminal, said at least one selection rule being configured to assist the mobile terminal in its selection of an access network to which it can be attached to access a communication network, wherein said device comprises a processing module configured to generate said at least one selection rule of access network by ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology. 15. A non-transitory computer readable medium having stored thereon instructions for performing the method according to claim 1, when said instructions are executed by a processor.", GENERAL TECHNICAL FIELD The invention relates to the field of radiocommunications and relates more particularly to selection mechanisms between cellular access networks and wireless non-cellular access networks (for example Wi-Fi) such as specified by the standardisation group 3GPP in the technical specifications TS 24.302 and TS 24.312.,,"GENERAL TECHNICAL FIELD The invention relates to the field of radiocommunications and relates more particularly to selection mechanisms between cellular access networks and wireless non-cellular access networks (for example Wi-Fi) such as specified by the standardisation group 3GPP in the technical specifications TS 24.302 and TS 24.312. PRIOR ART When a user of a mobile communication terminal is subscribed with a telecommunication operator, this telecommunication operator lets the user connect to a communication network by means of his mobile terminal, by means of taking out a subscription to access several types of services originating from an IP (Internet Protocol) network, such as the Internet network. This communication network typically comprises a cellular access network comprising a set of cellular access points to which the terminal connects. The cellular access network can be of several types (2G, 3G, 4G), each type of network being accessible according to several cellular access technologies (2G: EDGE, GPRS, 3G: UMTS, HSDPA, HSUPA, HSPA, HSPA+, 4G: LTE, LTE-Advanced). To boost coverage and capacity of such a cellular network, the operator can provide its subscribers with an access network comprising wireless non-cellular access points of Wi-Fi or WiMAX type (“hot spot”). In this way, a communication network of an operator can comprise access points offering different standards, technologies and rates and is therefore heterogeneous, current mobile terminals being compatible with all these access points. This compatibility lets the mobile terminal access the communication network of the operator in areas where only some types of access points are available but also offers the terminal an access point offering the best service quality as a function of the service accessed, even within the same cell. In the standards defined by the 3GPP group, and especially in the technical specifications TS 24.302 and TS 24.312, an Access Network Discovery and Selection Function (ANDSF) is provided. On request of a mobile terminal or else automatically this ANDSF server provides information on wireless non-cellular access networks. This ANDSF server also provides a selection policy which can comprise a list of wireless non-cellular access networks prioritised relative to the 3GPP cellular access network, irrespective of the technology or type. In this way, the policies provided by the ANDSF server discriminate non-3GPP access points from each other as a function of their respective rate, but do not discriminate different cellular access technologies from a 3GPP network. In fact, selection of a type or a 3GPP cellular access technology is done at the level of the radio access network (RAN) and the ANDSF server is not meant to interfere with this selection. In particular, when a mobile terminal is in coverage by a cellular network which can propose different cellular access technologies, the same selection policy will be applied by this mobile terminal, independently of the changes of cellular access technology which can affect the cell of the network where this terminal is found. This problem occurs also when the mobile terminal, when shifting, passes under the coverage of another cellular network using a different cellular access technology. So, in some cases it can be interesting to prioritise differently “non-3GPP” access points relative to the “3GPP” network as a function of “3GPP” access technologies available at a given place as these “3GPP” access technologies offer considerably different rates. However, this is currently not possible. PRESENTATION OF THE INVENTION The present invention rectifies the abovementioned disadvantages and according to a first aspect proposes a method for selecting an access network by means of which a mobile terminal accesses a communication network, comprising the following steps, conducted by the mobile terminal: obtaining, originating from a selection device of access networks, of at least one selection rule of access networks ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network; detecting at least one wireless non-cellular access network and of at least one cellular access network to which the mobile terminal can be attached; and selecting the highest-priority access network, from the detected access networks, according to said at least one selection rule applied as a function of the cellular access technology of the detected cellular access network. The invention lets a terminal have one or more differentiated selection policies according to a cellular access technology of a cellular access network so that it can select an access network as a function of a cellular access technology. So in the invention the selection policies are no longer based solely on location of the terminal in the network, as is currently the case and can be adapted according to the cellular access technology which the terminal can use in its current position. Also, the selection policies ranking differently the non-cellular access points relative to the 3GPP cellular network, as a function of the type of cellular network or of the cellular technology available discriminate 3GPP access networks in the selection method between non-cellular access networks and cellular access network. According to a first embodiment the mobile terminal obtains a plurality of selection rules comprising at least one selection rule ranking a cellular access network using the first cellular access technology relative to at least one of said non-cellular access networks and a selection rule ranking a cellular access network using the second cellular access technology relative to at least one of said non-cellular access networks, the method further comprising the selection from said selection rules of a selection rule to be applied during selection of the access network as a function of the cellular access technology of the detected cellular access network. This first embodiment is advantageous in that it permits differentiation of selection rules, as a function of the detected cellular access technology, based on some of the mechanisms already existing in standards and therefore can apply to networks operating according to such standards by means of a minimum of modifications. In this first embodiment, it can be advantageous for each of the selection rules to comprise a validity indicator designating the cellular access technology used by the cellular access network ranked in said selection rule, the selection of the access network being carried out by applying the selection rule whereof the validity indicator corresponds to the access technology used by the detected cellular access network. The use of such a validity indicator ensures, from the set of selection rules, that a single selection rule applies to a given cellular access technology, in this case the one determined by the mobile terminal for the detected cellular network, and avoids conflicts between selection policies. Alternatively, each of said selection rules can comprise a validity indicator designating a rate interval for which said rule is applicable, and the method further comprises the determination by the mobile terminal of a transmission rate available by means of the cellular access technology used by the detected cellular access network, the selection of the access network being carried out by applying the selection rule whereof the rate interval comprises the determined transmission rate. It is possible for the mobile terminal to differentiate the selection rules to be applied as a function of the transmission rate available on the detected cellular network, therefore indirectly as a function of the cellular access technology of this network, but without having to directly determine the cellular access technology used by this cellular network, which is not necessarily possible or can lead to errors when the cellular access technology information diffused over a cellular network is not correct. This also takes into account the transmission rate really offered by the access technology of the detected cellular network. Alternatively, in this first embodiment, said selection rules are ranked according to an order of priority determined by the selection device, the selection rule with greatest priority being used by the mobile terminal when it selects an access network from a plurality of detected cellular access networks. According to a second embodiment, said at least one selection rule consists of a single rule of selection ranking, by order of priority, all of said access networks. This second embodiment, even though further away from the mechanisms already existing in the standards, is advantageous in terms of simplicity of execution, both on the selection device side and the mobile terminal side. Other characteristics of the method according to the first aspect of the invention are the following, taken singly or in combination. The order of priority of the access networks ranked in said at least one selection rule is a function of the rates respectively associated with the access technologies used by said access networks. This especially allocates a user the access network offering him the best rate of all the access networks to which he can have access in his current position. The order of priority of the access networks ranked in said at least one selection rule is a function of congestion information transmitted to the selection device of access networks by access points of said access networks. This dynamically updates a set of selection rules so as to modify in real or quasi-real time the order of priority of the access networks as a function of the availability or any congestion of the different access networks. The selection step is conducted after determination of a change in cellular access technology used by one of the detected cellular networks. It is possible to select another access network in case of degradation, or on the contrary improvement, of the coverage cellular network at the site where the mobile terminal is located. This is particularly advantageous when the mobile terminal shifts within the same cell and when the cellular access technology varies due to this shift, which can make it appropriate to change access network to conserve or obtain the best quality connection possible. The cellular access technologies can belong to the following group: 2G, 3G, 4G. Alternatively, the cellular access technologies can belong to the following group: IS95A, IS95B, EDGE, GPRS, HSDPA, HSPA, HSPA+, HSUPA, LTE, UMTS. According to a second aspect, the invention proposes a method for assisting selection, by a mobile terminal, of an access network to which it can be attached to access a communication network, comprising the following steps: generation of at least one selection rule of access network ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology; and sending said at least one selection rule to the mobile terminal, said at least one selection rule being intended to be used by said mobile terminal during selection of an access network to which it can be attached. According to a third aspect, the invention proposes a mobile terminal capable of receiving, originating from a selection device of access networks, at least one selection rule of access network ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology, characterized in that it comprises a processing module configured to select, from at least one wireless non-cellular access network and at least one cellular access network detected by said terminal, the highest-priority access network according to said at least one selection rule applied as a function of the cellular access technology of the detected wireless access network. According to a fourth aspect, the invention proposes a selection device of access networks, arranged to send at least one selection rule to a mobile terminal, said at least one selection rule being intended to assist the mobile terminal in its selection of an access network to which it can be attached to access a communication network, characterized in that it comprises a processing module configured to generate said at least one selection rule of access network by ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology. According to a fifth aspect, the invention proposes a computer program comprising code instructions for execution of the steps of a method according to the first aspect of the invention, when said computer program is executed by a processor. According to a sixth aspect, the invention proposes a recording medium, readable by a device, in which is recorded the computer program according to the fifth aspect of the invention. PRESENTATION OF FIGURES Other characteristics, aims and advantages of the invention will emerge from the following description which is purely illustrative and non-limiting and which must be considered with respect to the appended drawings in which: FIG. 1 schematically illustrates a communication system according to the present invention; FIG. 2 illustrates a situation where the mobile terminal UE can execute a method for selecting access networks according to an embodiment of the present invention; and FIG. 3 illustrates a method for selecting access networks according to an embodiment of the invention. DETAILED DESCRIPTION OF THE INVENTION In relation to FIG. 1, a communication system according to the invention is illustrated. This system comprises several access points APi for access to access networks 10i of cellular mobile access networks (e.g. 2G, 3G or 4G networks) type or of wireless non-cellular access networks (e.g. WLAN network, for example WiFi or WiMAX) type as well as at least one mobile terminal UE. Each access network 10i is illustrated as comprising only a single access point Api in FIG. 1, purely illustratively and non-limiting. In this way, by attaching to one of the access networks 10i, when it is under radio coverage of an access point APi of this network 10i and when it attaches to this access point, the mobile terminal UE can be connected to a communication network 30 letting it access for example an IP (Internet Protocol) network of the Internet type, to access different services. Here “be attached to an access point” means the fact that the mobile terminal UE is located in the coverage area of this access point, is authorised to access the access network of this access point and has selected this access point to access this access network. The mobile terminal UE can either be connected to this access point (that is, have a radio channel set up with this access point), or be on standby (without active radio communication). The mobile terminal UE is considered as being “attached to an access network” when it is attached to an access point of this access network. The different access points AP1, . . . , APN are either access points of a cellular access network, in which case they can be typically designated by “3GPP access points” when the access network in question is a 3GPP network, or access points to a wireless non-cellular network, in which case they can be typically designated by “non-3GPP access points” when the access network in question is not a 3GPP network. With respect to mobile cellular access networks, the access points of a 4G access network are equipment called “eNodeB”, the access points of a 3G access network are equipment called “NodeB” and the access points of a 2G or GPRS access network are base stations “BTS” (for “Base Transceiver Station”). With respect to wireless non-cellular access networks, the access points of a WiFi network can be “hotspots” and the access points of a WiMAX network are WiMAX stations. The communication network 30 also comprises a selection device 20 for access networks, typically located in the centre of this communication network 30, which, on the one hand, aids a mobile terminal UE to discover the access points of wireless non-cellular access networks to which it can be attached and, on the other hand, aids this mobile terminal UE to choose between attachment to a wireless non-cellular access point and attachment to a cellular access network, as a function of a selection policy transmitted by this selection device. Such a selection device of access networks is typically a device performing the ANDSF function such as defined in 3GPP standards, i.e. an ANDSF server according to documents TS 23.402 and 23.412. The invention is not limited to this single entity and can apply to any network having physical or logical entities having the same functionalities as this ANDSF entity. Reference is now made to FIG. 2 which illustrates a situation where the mobile terminal UE can perform a selection method according to an embodiment of the present invention. In this situation, the communication network 30 is accessible via three separate access networks 101 to 103 shown respectively by the access points AP1 to AP3. Each access point APi (i=1, 2, 3) defines a radio coverage area Zi (i=1, 2, 3) in which the mobile terminal UE can be connected to this access point, and be attached to the corresponding access network 10i. These areas Zi which can be covered as illustrated, when the mobile terminal UE is in such a coverage area it is compelled to choose the access point network (wireless non-cellular or cellular) to which it can be attached, and therefore the access network letting it access the communication network 30, according to a selection method described hereinbelow. In the following, cellular access technology means: Either access technology corresponding to the generation of cellular network in question, if at a first, wider, selection level. The following access technologies are distinguished: a 2G cellular network, a 3G cellular network, a 4G cellular network or a CDMA network. Or access technologies corresponding to a sub-category of transmission technology used in the abovementioned cellular networks, if at a second, finer, selection level. The following access technologies are distinguished: For CDMA, IS95A, IS95B technologies; For a 2G network, GPRS, EDGE technologies; For a 3G network, UMTS, HSDPA, HSUPA, HSPA, HSPA+ technologies; and For a 4G network, LTE, LTE-Advanced technologies. Finally, wireless non-cellular access network means a Wi-Fi or WiMAX network. The method for selecting an access network can typically be initiated while the mobile terminal UE is already attached to an access point AP1 of a first access network 101 (the mobile terminal UE which can be in the process of or on the point of accessing a certain type of service), and another access network is also detected by the mobile terminal UE, offering a connection alternative to this terminal. In such a case, the mobile terminal UE can want to select a new access network by applying the selection method hereinbelow, for example to benefit from a rate higher than the rate accessible via the access point AP1 or, when degradation of the connection already set up with the access point AP1 is detected, to be able optionally to be attached to an access point AP2 of the other access network detected to maintain good connection quality. Alternatively, the method for selecting an access network can be initiated while the mobile terminal UE is not yet attached to an access network, but it detects that at least two separate access networks are within reach, which can be the case of powering the mobile terminal by its user. In such a case the mobile terminal UE can apply the selection method hereinbelow to directly select the access network to be attached to. Reference is now made to FIG. 3 which illustrates a method for selecting an access point of a communication network according to an embodiment of the present invention. In a first prior step S1, the mobile terminal UE obtains from the selection device of access networks 20 one or more selection rules {Ri} (alternatively called “selection policies”) which will let it then perform selection of an access network, when it has the chance to do this. This set of selection rules, comprising one or more selection rule(s), ranks according to an order of priority defined by the selection device 20 one or more cellular access networks using separate cellular technologies, as well as one or more wireless non-cellular access networks. In other terms, this set of selection rules proposes prioritised ranking relating, on the cellular network side, to at least two different access technologies and, on the wireless non-cellular networks side, to one or more wireless non-cellular networks. The order of priority used by the selection device 20 to rank access networks in the set of selection rules can be a function of rates respectively associated with access technologies used by these access networks. The selection device 20 can utilise values of rate fixed in 3GPP standards, which generates a set of relatively static selection rules. According to another more dynamic alternative the rates of utilisable different access technologies are measured and the selection device 20 uses these measurements to prioritise the access networks in the set of selection rules. As a complement to this prioritisation policy of access networks according to rates associated with the different access technologies, the selection device 20 can define the order of priority as a function of congestion information which can be raised by different access points of the access networks, and dynamically update this set of selection rules to modify in time or quasi-real real the order of priority of the access networks as a function of the availability or any congestion of the different access networks. In this case, the different selection rules are transmitted to the mobile terminal UE after such updating so that this terminal UE has selection rules corresponding to the status of the access networks. This set of selection rules can take the form of a file, for example in xml format, generated by the selection device 20 before being transmitted to the mobile terminal UE and using a syntax similar to the syntax defined in the specification 3GPP TS 24.312. On completion of this prior step, the mobile terminal UE has one or more differentiated selection policies according to a cellular access technology of a cellular access network, these policies no longer based solely on the location of the terminal UE in the network, as can be done currently with ANDSF. With such a set of selection rules the mobile terminal UE is capable of prioritising the cellular networks differently relative to the wireless non-cellular networks, as a function of the cellular access technology used by the cellular network(s) and which it can access in its current position. The mobile terminal UE then monitors the access networks to which it can be attached in its current position, i.e. the access networks under the coverage of which it now is, to detect whether it has a choice of access network at its disposition. Detection of the access networks to which the terminal can be attached is executed by the terminal itself according to known techniques which will not be described here. When the mobile terminal UE detects (step S2) at the same time one (or more) wireless non-cellular access network and one (or more) cellular access network to which it can be attached (i.e. in the coverage of which it now is in its current position), it determines (step S3) for each detected cellular access network the cellular access technology which it can use to be attached to an access point of this cellular network. This determination step S3 can in particular be performed after detection of a new cellular access network to which the mobile terminal UE is not already attached or after detection of a cellular access network with which the mobile terminal has no set active connection (e.g. the mobile terminal being on standby). With respect to a detected cellular access network with which the mobile terminal already has an active connection, this determination step S3 can be optional. To execute this determination, the mobile terminal UE can be based on the information of cellular access technology inserted into the SIB field of signalling messages diffused by this network. As this type of information can however not be completely reliable, an advantageous alternative consists of the mobile terminal UE determining this cellular access technology by initiating set-up of a connection with the detected cellular network, for example by means an RRC connection. The detected cellular network then returns to the mobile terminal UE an information message containing an indicator of the cellular access technology utilisable to connect with it. The mobile terminal UE can store this indication in a cache memory to be used later in the selection process without necessarily continuing the connection process to the detected cellular network. The mobile terminal UE can also perform this determination autonomously. For example, when the mobile terminal UE is initially near the centre of a 3G cell to which it is attached, which it can determine by means of measuring the power of the received radio signal exceeding a certain threshold, it can determine that it can utilise the access technology HSPA+ in this cell. On the contrary, it can determine being at the edge of this cell when it measures a radio signal power received below a certain threshold. In this case, it can determine that it can use only the access technology UMTS in this cell. Once the technology(ies) of the detected cellular access network(s) is determined, the mobile terminal UE selects (step S4) from the different detected access networks, the access network to be attached to, by means of the set of selection rules received, by choosing from the detected networks the highest-priority network according to this set of rules given the cellular access technology of the detected cellular access network(s). In other terms, this set of selection rules applies a different selection order as a function of this cellular access technology. This selection step S4 can be performed whenever detection step S2 of access networks reveals a new available access network, whether cellular or wireless non-cellular, for attachment of the mobile terminal UE. This selection step S4 can also be performed as soon as the mobile terminal UE determines a change in cellular access technology for a detected cellular access network. In this way, when the mobile terminal UE is connected to a 3G access point by means of the HSPA+ access technology and detects a replacement of this technology by the UMTS access technology (e.g. during degradation of the existing connection or shift of the terminal towards the edge of the cell), or vice versa when the mobile terminal UE is connected to a 3G access point by means of the UMTS access technology and detects a replacement of this access technology by the HSPA+ technology (e.g. during improvement of the existing connection or shift of the terminal towards the centre of the cell), it can trigger the selection procedure to optionally select another access network. When the selected access network proves to be the access network to which the mobile terminal UE is already attached, this attachment is simply retained without modification. By comparison, if the selected access network is a new access network, different to the access network to which the mobile terminal UE is already attached, the mobile terminal UE attaches (step S5) to this new access network by selecting an access point of this selected network to which it can be attached. It can also interrupt its attachment with the former access network. The application of this selection rule according to the method defined in the specification 3GPP TS 24.312 compels the mobile terminal UE to choose in its environment an access point of the access network selected in terms of service quality. In a first embodiment, the set of selection rules recovered by the mobile terminal UE from the selection device 20 consists of a single selection rule. This selection rule then ranks relative to each other: At least one cellular access network using a first cellular access technology and a cellular access network (which can be the same as the previous) using a second cellular access technology, different to the first technology, and one or more wireless non-cellular access networks (typically several wifi networks offering different levels of security and/or of rate). By way of example, this global rule can rank access networks according to the following order of priority, decreasing as a function of the rate offered by the access technologies used by these access networks: 4G>Wifi1>3G+>Wifi2>3G>Wifi3>2G, where: “4G” designates any latest-generation cellular access network offering the mobile terminal UE the possibility of using access technology 4G (e.g. LTE, LTE-advanced) “3G+” designates any third-generation cellular access network offering the mobile terminal UE the possibility of using advanced 3G access technology relative to basic 3G access technology (e.g. HSPA or HSDPA) “3G” designates any third-generation cellular access network offering the mobile terminal UE the possibility of using basic 3G access technology (e.g. UMTS, Rel-99) “2G” designates any second-generation cellular access network offering the mobile terminal UE the possibility of using 2G access technology (e.g. GPRS, EDGE). “Wifi1” designates a first wireless non-cellular access network of wifi type offering a transmission rate (in descending and/or ascending order) lower than the rate obtained with 4G access technology, but greater than the rate obtained with advanced 3G access technologies. “Wifi2” designates a second wireless non-cellular access network of wifi type offering a transmission rate (in descending and/or ascending order) lower than the rate obtained with advanced 3G access technologies, but greater than the rate obtained with basic 3G access technologies. “Wifi3” designates a third wireless non-cellular access network of wifi type offering a transmission rate (in descending and/or ascending order) lower than the rate obtained with basic 3G access technologies, but greater than the rate obtained with 2G access technologies. This first embodiment is especially and advantageously applicable when the mobile terminal UE has the faculty to select from several cellular access technologies, and therefore the cellular access technology is not imposed on it by the radio access networks (RANs). In this case, the selection device 20 can be located in an entity of the access network(s) to which this terminal can be attached. This first embodiment however applies also in the usual case in point where a single cellular access technology is imposed by radio access networks (RANs) on the terminal UE. In this case, with each detected change of cellular access technology, the mobile terminal UE can reapply the selection rule to optionally select a new access network which would become priority due to the change in cellular access technology. In another embodiment, the set of selection rules sent by the selection device 20 comprises several selection rules, each applicable as a function of the cellular access technology with which the mobile terminal UE can be attached to a cellular network it detects. Each of these rules ranks a single set of cellular access networks using one or more cellular access technologies, relative to one or more wireless non-cellular access networks, according to an order of priority defined by the selection device 20. In this other embodiment, it is advantageous that no cellular access technology is concerned by two separate selection rules to ensure that, from the set of selection rules, a single selection rule applies to a given cellular access technology. To implement such a condition, one (or even more) validity indicator can be inserted into each selection rule, each validity indicator designating a separate cellular access technology for which the selection rule applies, when it contains this indicator. Such a validity indicator can be inserted into an existing field of a selection rule transmitted by an ANDSF entity, this field conditioning application of the rule according to a location criterion, typically in the “ValidityArea” field such as defined in the normative document TS 24.312. When the different cellular access technologies known at this stage are considered, these validity indicators can take the following forms: “NETWORK_TYPE_2G”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a cellular access network 2G, using any 2G access technology; “NETWORK_TYPE_3G”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 3G cellular access network, using any 3G access technology; “NETWORK_TYPE_4G”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 4G cellular access network, using any 4G access technology. “NETWORK_TYPE_GPRS”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 2G cellular access network by using the GPRS access technology; “NETWORK_TYPE_EDGE”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 2G cellular access network by using the EDGE access technology; “NETWORK_TYPE_UMTS”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 3G cellular access network by using the UMTS access technology; “NETWORK_TYPE_HSDPA”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 3G cellular access network by using the HSDPA access technology; “NETWORK_TYPE_HSUPA”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 3G cellular access network by using the HSUPA access technology; “NETWORK_TYPE_HSPA”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 3G cellular access network by using the HSPA access technology; “NETWORK_TYPE_HSPAP”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 3G cellular access network by using the HSPA+ access technology; “NETWORK_TYPE_LTE”: indicates that the selection rule containing this indicator is valid whenever the mobile terminal UE can be attached to an access point of a 4G cellular access network by using the LTE access technology. By way of example, the following set of selection rules can be utilised: Selection Rule 1: When the mobile terminal UE can be attached to a 4G access point or to a 3G access point by using the cellular access technology HSPA+, the access networks of type Wi-Fi private have priority level 1 (because they are supposed to offer a better rate than that of 3GPP access networks), the cellular access networks have priority level 2 (for 4G or HSPA+ cellular access technologies selected at the level of the RAN), and the other Wi-Fi access networks have priority level 3 (because they are supposed to offer rates lower than those of the cellular access network. The applicability of this priority rule 1 can be conditioned by inserting the abovementioned indicators “NETWORK_TYPE_HSPAP” and “NETWORK_TYPE_4G”. Selection Rule 2: When the mobile terminal UE can be attached to a 3G access point by using HSPA or HSDPA cellular access technologies, the access networks of private Wi-Fi or operator Wi-Fi type have priority level 1 (because they are supposed to offer a better rate than the HSPA technology), the cellular access networks have priority level 2 (for HSPA or HSPDA cellular access technologies selected at the level of the RAN), and community Wi-Fi access networks have priority level 3 (because they are supposed to offer rates lower than those of HSDPA technology). The applicability of this priority rule 2 can be conditioned by inserting the abovementioned indicators “NETWORK_TYPE_HSPA” and “NETWORK_TYPE_HSDPA”. Selection Rule 3: When the mobile terminal UE can be attached to a 2G access point or to a 2G access point by using the UMTS cellular access technology, all the Wi-Fi access networks have priority level 1 (because they are supposed to offer a better rate than that of the UMTS technology) and the 3GPP access networks have priority level 2 (for 2G and UMTS cellular access technologies selected at the level of the RAN). The applicability of this priority rule 3 can be conditioned by inserting the abovementioned “NETWORK_TYPE_UMTS” and “NETWORK_TYPE_2G” indicators. In this way, during a third selection step S4, the mobile terminal UE determines for each of the selection rules received if it is applicable with respect to the cellular access technology determined in step S3, a selection rule being considered as being applicable if it relates to the cellular access technology used by a detected cellular network, which is determined by verifying if the validity indicator designating such a cellular access technology is inserted in this rule. At this stage, to the extent where a single cellular access technology is determined and each cellular access technology can be concerned by a single selection rule only, a single selection rule is determined as being applicable from the different rules received and it is this selection rule which is used by the mobile terminal UE to decide on the access network to which it can be attached. In this way, by reprising the previous example, when the mobile terminal UE is under coverage of a single 3G cellular network and the latter proposes the best 3G cellular access technology possible (i.e. HSPA+), it is the selection rule 1 which applies automatically, simply because the HSPA+ access technology is proposed as priority to the mobile terminal UE by the 3G access network. When it is no longer possible to use the HSPA+ technology (for example when the mobile terminal UE enters a building but remains under coverage of the 3G network) and when the 3G network proposes that the terminal UE uses the HSPA cellular access technology instead, the selection rule 2 becomes the rule to be applied by the mobile terminal UE, which can cause attachment of the terminal UE to an operator Wifi network (if the terminal UE detects that it is under coverage of such a network) which was not preferred with the selection rule 1 previously applied. The set of selection rules transmitted by the selection device 20 can be written in the form of an xml file as follows: 1 3 operator1 1 3 operator2 2 1 3 XXX 01 01 XXX 1 3 operator1 1 1 2 3 operator1 3 XXX 01 02 XXX 1 1 1 3 2 XXX 01 02 XXX In this file, the first rule applies to 2G access technologies and gives priority to a first wifi network (operator 1) relative to a second wifi network (operator 2), itself priority over 2G networks. The second rule applies to 3G technologies and gives priority to a first wifi network (operator 1) relative to 3G technologies, themselves priority relative to a second wifi network (operator 2). The third rule applies to 4G technologies and gives priority to the latter over any wifi network, independently of their operator. The condition of applicability of each rule is determined by means of the validity indicators 01, 02 and 02. As a variant, instead of inserting into the selection rules a validity indicator designating directly the cellular access technology conditioning application of the rule, it is possible to insert a validity indicator designating a rate interval for which said rule is applicable, which indirectly allows conditioning of the rule relative to a given access technology without having to directly determine this access technology, since cellular access technologies offer rates separate to each other. This also takes into account the real transmission rate. By way of example, an H+ network which, at any given moment, offers just a rate of 1 Mb/s can be deprioritised relative to a Wi-Fi network. In such a variant, the method further comprises determination by the mobile terminal of a transmission rate available by means of the cellular access technology used by the detected cellular access network. Once this rate is determined, selection of the access network is done by applying the selection rule whereof the rate interval comprises the determined transmission rate, in other terms the selection rule applicable for this transmission rate. This conditions the selection rules as a function of the rate really offered by the available cellular access network, in the current position of the mobile terminal, and at any given instant, and therefore takes into account the case where this rate is significantly lower than the theoretical rate of the technology used. This also conditions the selection rules even when the available information on the cellular access technology, in the current position of the mobile terminal, is not accessible, for example because the selection logic is conducted in an application which has no access to information relative to the radio layer of the terminal. It is possible to envisage another case in point where the mobile terminal UE detects in its current position several cellular access networks proposing several separate cellular access technologies which it can select. In such a case in point several selection rules can be applicable. To resolve this conflict of rules, the selection rules can be advantageously ranked by order of priority. In this way, when several selection rules are determined as being applicable simultaneously, the mobile terminal UE selects from these applicable rules the selection rule to be applied, which typically proves to be the highest-priority selection rule of the applicable rules sent by the selection device 20. The priority level of a selection rule can be indicated by a “RulePriority” field inserted into each selection rule, as defined in the specification 3GPP TS 24.312 and illustrated by the abovementioned xml file. Once the selection rule to be applied is determined, the mobile terminal UE utilises this rule to choose the access network to which it can be attached, by selecting the highest-priority access network according to this selection rule from the detected access networks. In this way, by repeating the previous example and given the selection rule 1 is priority relative to the selection rule 2 itself priority relative to the selection rule 3, when the mobile terminal UE is under coverage of a 4G network, a 2G network and a Wifi community network, selection rules 1 and 3 are applicable, and it is selection rule 1 which applies as a priority of these rules, resulting in selection of the 4G network for attachment of the terminal UE. When, after being shifted for example, the mobile terminal UE ceases to detect the 4G network but continues to be in the coverage of the 2G network and of the Wifi community network, selection rule 3 becomes applicable, resulting in selection of the Wifi community network for attachment of the terminal UE. The invention is not limited to the selection method described previously, but also relates to a mobile terminal UE and a selection device 20 arranged to send at least one selection rule to a mobile terminal UE, said at least one selection rule being intended to assist the mobile terminal in its selection of an access network to which it can be attached to access a communication network 30. The selection device 20 comprises especially, apart from an interface network, a processing module configured to generate said at least one selection rule of access network by ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology. The mobile terminal UE is capable of receiving, originating from a selection device 20 of access networks, at least one selection rule of access network ranking, according to an order of priority, a plurality of access networks comprising a cellular access network using a first cellular access technology, a cellular access network using a second cellular access technology and at least one non-cellular access network using a wireless non-cellular access technology. The mobile terminal UE comprises especially, apart from a first radio interface and a second radio interface, a processing module configured to select from at least one wireless non-cellular access network and at least one detected cellular access network by said terminal the highest-priority access network according to said at least one selection rule applied as a function of the cellular access technology of the detected wireless access network. The terms “modules” can correspond in this document both to a software component and to a hardware component capable of executing a function or a set of functions according what is described previously for the relevant module. A software component corresponds to one or more computer programs, one or more sub-programs of a program, or more generally to any element of a program or software. Such a software component is stored in memory, then loaded and executed by a data processor of a physical entity and is likely to access the hardware resources of this physical entity (memories, recording media, communication buses, interfaces). In the same way, a hardware component corresponds to any element of hardware. It can be a programmable hardware component or not with or without integrated processor for execution of software. It can be for example an integrated circuit, smart card, electronic card for execution of firmware, etc. In a particular embodiment, the abovementioned processing modules are arranged to execute the methods described previously, on the selection device 20 side and mobile terminal side. These are preferably software modules comprising software instructions to have the steps of the methods described previously executed. The invention therefore also relates to: a computer program, for selection of an access network, comprising code instructions for controlling execution of the steps taken on the mobile terminal UE side in the method for selecting an access network described previously; a recording medium, readable by a mobile terminal, on which this program is recorded for selection of an access network; a computer program, for assistance with the selection of an access network, comprising code instructions for controlling the execution of steps taken on the selection device 20 side in the method for selecting an access network described previously. a recording medium, readable by a selection device, on which this program is recorded for the assistance with the selection of an access network. These software modules can be stored in or transmitted via a data medium which can be a hardware storage medium (for example a CD-ROM, a USB drive, a memory card or a hard drive), or else a transmission medium such as an electric, optic or radio signal, or even a telecommunication network.",H04W4818,H04W4818,20160114,,20160602,75356.0 19,15000082,ACCEPTED,Wire Harness,A wire harness includes a conducting path. The conducting path includes a conductor and a sheath. The conductor includes a first conductor and a second conductor which are connected to each other. The first conductor is disposed in a route regulation section requiring route regulation. The second conductor is disposed in another section than the route regulation section. The conductor in which the first conductor and the second conductor are connected to each other is covered with the sheath.,"1. A wire harness comprising: a conducting path, wherein the conducting path comprises a conductor and a sheath; wherein the conductor comprises a first conductor and a second conductor which are connected to each other, the first conductor being disposed in a route regulation section requiring route regulation, the second conductor being disposed in another section than the route regulation section; and wherein the conductor in which the first conductor and the second conductor are connected to each other is covered with the sheath. 2. The wire harness according to claim 1, wherein in the conductor, the first conductor and the second conductor are connected to each other, and a diameter of the first conductor is substantially same as a diameter of the second conductor. 3. The wire harness according to claim 2, wherein a forming treatment is applied to the first conductor and the second conductor in order to connect the first conductor and the second conductor to each other in a state that the diameter of the first conductor is substantially same as the diameter of the second conductor. 4. The wire harness according to claim 1, wherein a portion of the conducting path corresponding to the route regulation section of the wire harness has rigidity."," BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wire harness which includes a conducting path or a plurality of conducting paths. 2. Description of the Related Art According to the background art, there is known a wire harness through which, for example, high-voltage devices mounted in a hybrid car or an electric car are electrically connected to each other. A wire harness disclosed in the following JP-A-2010-51042 includes a plurality of conducting paths, corrugated tubes which are made of a resin and protectors which are made of a resin. The corrugated tubes and the protectors receive the plurality of conducting paths collectively. Each of the corrugated tubes is formed into a flexible bellows tubular shape. The corrugated tubes are provided side by side in a longitudinal direction of the wire harness. The protectors are provided in portions where route regulation is required. In addition, each of the protectors is provided in a position where adjacent ones of the corrugated tubes are coupled to each other. The corrugated tubes and the protectors are used as exterior members. According to the background-art technique, retrofitted exterior members are required for route regulation. Accordingly, there are a problem that the number of components may increase to thereby increase the cost, and a problem that the number of man-hours for manufacturing the wire harness may increase. In order to solve these problems, a structure which dispenses with retrofitted exterior members is demanded. However, when the retrofitted exterior members are dispensed with simply, route regulation cannot be performed."," SUMMARY OF THE INVENTION The invention has been accomplished in consideration of the aforementioned circumstances. An object of the invention is to provide a wire harness in which route regulation can be performed even without using any retrofitted exterior member and in which cost and the number of man-hours can be reduced because the retrofitted exterior member can be dispensed with. The aforementioned object of the invention can be achieved by the following configurations. (1) A wire harness including: a conducting path, wherein the conducting path includes a conductor and a sheath; wherein the conductor includes a first conductor and a second conductor which are connected to each other, the first conductor being disposed in a route regulation section requiring route regulation, the second conductor being disposed in another section than the route regulation section; and wherein the conductor in which the first conductor and the second conductor are connected to each other is covered with the sheath. According to the aforementioned configuration (1), the conducting path is included in the configuration of the wire harness, and the conductor path has a structure in which kinds of conductors are connected to each other and the connected conductors are covered with the sheath. Accordingly, the structure of the conducting path is different from a structure in which, for example, kinds of well-known electric wires are connected integrally. In addition, according to the invention, the conductor constituting the conducting path is formed by connecting at least two kinds of conductors, i.e. the first conductor and the second conductor, to each other. The first conductor is disposed in accordance with the route regulation section requiring route regulation. The second conductor is disposed in accordance with another section than the route regulation section. Accordingly, the conductor according to the invention is also different from the conductor structure of any well-known electric wire. By the aforementioned structure, route regulation can be performed even without using any retrofitted exterior member. Incidentally, in the invention, it is effective to connect one conductor (the first conductor) having high rigidity and another conductor (the second conductor) having lower rigidity than the aforementioned conductor. (2) The wire harness according to the aforementioned configuration (1), wherein in the conductor, the first conductor and the second conductor are connected to each other, and a diameter of the first conductor is substantially same as a diameter of the second conductor. According to the aforementioned configuration (2), there is provided a structure in which the first conductor and the second conductor are connected to each other without causing any convex portion generated in the outer surface (in other words, the first conductor and the second conductor are connected to each other with substantially the same diameter) and the first conductor and the second conductor connected to each other are covered with the sheath. If no convex portion is generated in the outer surface when the first conductor and the second conductor are connected to each other, it is possible to reduce the influence of connection between the first conductor and the second conductor on formation of the sheath or on the outer surface of the sheath. (3) The wire harness according to the aforementioned configuration (2), wherein a forming treatment is applied to the first conductor and the second conductor in order to connect the first conductor and the second conductor to each other in a state that the diameter of the first conductor is substantially same as the diameter of the second conductor. According to the aforementioned configuration (3), even in the case where the first conductor and the second conductor have conductor sizes with different diameters, the first conductor and the second conductor can be connected to each other with substantially the same diameter when forming treatment is applied to the first conductor and the second conductor. (4) A wire harness according to any one of the aforementioned configurations (1) through (3), wherein a portion of the conducting path corresponding to the route regulation section of the wire harness has rigidity. According to the aforementioned configuration (4), there is provided a structure in which route regulation is performed with rigidity. The rigidity of the conductor contributes to the route regulation. According to the invention according to the aforementioned configuration (1), there is obtained an effect that route regulation can be performed even without using any retrofitted exterior member, as understood also from the structure of the conducting path. In addition, according to the invention, there is also obtained an effect that cost and the number of man-hours can be reduced because the retrofitted exterior member can be dispensed with. According to the invention according to the aforementioned configuration (2), there is also obtained the following effect in addition to the effects of the aforementioned configuration (1). That is, there is obtained an effect that formability of the sheath can be improved or the state of the outer surface of the sheath can be made excellent. According to the invention according to the aforementioned configuration (3), there is also obtained the following effect in addition to the effect of the aforementioned configuration (2). That is, there is obtained an effect that the first conductor and the second conductor can be connected to each other with substantially the same diameter even in the case where the first conductor and the second conductor have conductor sizes with different diameters. According to the invention according to the aforementioned configuration (4), there is also obtained the following effect in addition to any of the effects of the aforementioned configurations (1) to (3). That is, there is obtained an effect that it is possible to dispense with any retrofitted exterior member at least in the portion having rigidity.","CROSS REFERENCE TO RELATED APPLICATIONS This application is a continuation of PCT application No. PCT/JP2014/069099, which was filed on Jul. 17, 2014 based on Japanese Patent Application (No. P2013-150013) filed on Jul. 19, 2013, the contents of which are incorporated herein by reference. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wire harness which includes a conducting path or a plurality of conducting paths. 2. Description of the Related Art According to the background art, there is known a wire harness through which, for example, high-voltage devices mounted in a hybrid car or an electric car are electrically connected to each other. A wire harness disclosed in the following JP-A-2010-51042 includes a plurality of conducting paths, corrugated tubes which are made of a resin and protectors which are made of a resin. The corrugated tubes and the protectors receive the plurality of conducting paths collectively. Each of the corrugated tubes is formed into a flexible bellows tubular shape. The corrugated tubes are provided side by side in a longitudinal direction of the wire harness. The protectors are provided in portions where route regulation is required. In addition, each of the protectors is provided in a position where adjacent ones of the corrugated tubes are coupled to each other. The corrugated tubes and the protectors are used as exterior members. According to the background-art technique, retrofitted exterior members are required for route regulation. Accordingly, there are a problem that the number of components may increase to thereby increase the cost, and a problem that the number of man-hours for manufacturing the wire harness may increase. In order to solve these problems, a structure which dispenses with retrofitted exterior members is demanded. However, when the retrofitted exterior members are dispensed with simply, route regulation cannot be performed. SUMMARY OF THE INVENTION The invention has been accomplished in consideration of the aforementioned circumstances. An object of the invention is to provide a wire harness in which route regulation can be performed even without using any retrofitted exterior member and in which cost and the number of man-hours can be reduced because the retrofitted exterior member can be dispensed with. The aforementioned object of the invention can be achieved by the following configurations. (1) A wire harness including: a conducting path, wherein the conducting path includes a conductor and a sheath; wherein the conductor includes a first conductor and a second conductor which are connected to each other, the first conductor being disposed in a route regulation section requiring route regulation, the second conductor being disposed in another section than the route regulation section; and wherein the conductor in which the first conductor and the second conductor are connected to each other is covered with the sheath. According to the aforementioned configuration (1), the conducting path is included in the configuration of the wire harness, and the conductor path has a structure in which kinds of conductors are connected to each other and the connected conductors are covered with the sheath. Accordingly, the structure of the conducting path is different from a structure in which, for example, kinds of well-known electric wires are connected integrally. In addition, according to the invention, the conductor constituting the conducting path is formed by connecting at least two kinds of conductors, i.e. the first conductor and the second conductor, to each other. The first conductor is disposed in accordance with the route regulation section requiring route regulation. The second conductor is disposed in accordance with another section than the route regulation section. Accordingly, the conductor according to the invention is also different from the conductor structure of any well-known electric wire. By the aforementioned structure, route regulation can be performed even without using any retrofitted exterior member. Incidentally, in the invention, it is effective to connect one conductor (the first conductor) having high rigidity and another conductor (the second conductor) having lower rigidity than the aforementioned conductor. (2) The wire harness according to the aforementioned configuration (1), wherein in the conductor, the first conductor and the second conductor are connected to each other, and a diameter of the first conductor is substantially same as a diameter of the second conductor. According to the aforementioned configuration (2), there is provided a structure in which the first conductor and the second conductor are connected to each other without causing any convex portion generated in the outer surface (in other words, the first conductor and the second conductor are connected to each other with substantially the same diameter) and the first conductor and the second conductor connected to each other are covered with the sheath. If no convex portion is generated in the outer surface when the first conductor and the second conductor are connected to each other, it is possible to reduce the influence of connection between the first conductor and the second conductor on formation of the sheath or on the outer surface of the sheath. (3) The wire harness according to the aforementioned configuration (2), wherein a forming treatment is applied to the first conductor and the second conductor in order to connect the first conductor and the second conductor to each other in a state that the diameter of the first conductor is substantially same as the diameter of the second conductor. According to the aforementioned configuration (3), even in the case where the first conductor and the second conductor have conductor sizes with different diameters, the first conductor and the second conductor can be connected to each other with substantially the same diameter when forming treatment is applied to the first conductor and the second conductor. (4) A wire harness according to any one of the aforementioned configurations (1) through (3), wherein a portion of the conducting path corresponding to the route regulation section of the wire harness has rigidity. According to the aforementioned configuration (4), there is provided a structure in which route regulation is performed with rigidity. The rigidity of the conductor contributes to the route regulation. According to the invention according to the aforementioned configuration (1), there is obtained an effect that route regulation can be performed even without using any retrofitted exterior member, as understood also from the structure of the conducting path. In addition, according to the invention, there is also obtained an effect that cost and the number of man-hours can be reduced because the retrofitted exterior member can be dispensed with. According to the invention according to the aforementioned configuration (2), there is also obtained the following effect in addition to the effects of the aforementioned configuration (1). That is, there is obtained an effect that formability of the sheath can be improved or the state of the outer surface of the sheath can be made excellent. According to the invention according to the aforementioned configuration (3), there is also obtained the following effect in addition to the effect of the aforementioned configuration (2). That is, there is obtained an effect that the first conductor and the second conductor can be connected to each other with substantially the same diameter even in the case where the first conductor and the second conductor have conductor sizes with different diameters. According to the invention according to the aforementioned configuration (4), there is also obtained the following effect in addition to any of the effects of the aforementioned configurations (1) to (3). That is, there is obtained an effect that it is possible to dispense with any retrofitted exterior member at least in the portion having rigidity. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1A is a perspective view of a conducting path constituting a wire harness and FIG. 1B is an enlarged view of a portion of the conducting path. FIGS. 2A to 2D are views concerned with the conducting path, FIG. 2A being a view showing configuration of a conductor, FIG. 2B being a view showing another connection structure, FIG. 2C being a view showing a state in which the conductor in FIG. 2A is covered with an insulator, FIG. 2D being a sectional view of the conducting path. FIGS. 3A and 3B are views of conducting paths as other examples, FIG. 3A being a view showing a one-core configuration, FIG. 3B being a view showing a two-core configuration. FIG. 4 is a schematic view showing an arrangement state of the wire harness according to the invention. DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS A wire harness includes a conducting path or a plurality of conducting paths. Each conducting path has a conductor and a sheath. The conductor includes different kinds of conductors connected to each other so that the rigidity of the conductor varies in accordance with sections. The sheath is provided on such a conductor. A wire harness according to an embodiment will be described below with reference to the drawings. FIG. 1A is a perspective view of a conducting path constituting the wire harness according to the embodiment. FIG. 1B is an enlarged view of a portion of the conducting path. FIGS. 2A to 2D are views concerned with the conducting path. FIGS. 3A and 3B are views of conducting paths as other examples. FIG. 4 is a schematic view showing an arrangement state of the wire harness according to the embodiment. In FIGS. 1A and 1B, the conducting path 1 constituting the wire harness is a high-voltage conducting path which is provided with a conductor 2 and an insulator 3. As will be understood in the following description, the conducting path 1 has a structure divided in accordance with sections. The conducting path 1 has a portion of a route regulation section A requiring route regulation, and portions of other sections, i.e. other sections B, than the route regulation section A. Incidentally, the number of sections is not limited to two or three, but may be four or more. Here, the route regulation section A is set to be long, and the other sections B are set to have predetermined lengths from opposite ends of the route regulation section A respectively. The portion of the route regulation section A has rigidity high enough to retain its own shape, and the portions of the other sections B have lower rigidity but higher flexibility than the route regulation section A. FIG. 1B is an enlarged view of a portion in the vicinity of a boundary between the route regulation section A and one of the other sections B. In FIG. 2A, the conductor 2 is made of metal to be electrically conductive. In addition, the conductor 2 has a portion of a route regulation section “a” and portions of other sections “b”. The route regulation section “a” and the other sections “b” correspond to the route regulation section A and the other sections B in FIG. 1A respectively. The route regulation section “a” and 2 0 the other sections “b” are set to be located in the same positions as the route regulation section A and the other sections B. The conductor 2 has a first conductor 4 serving as the portion of the route regulation section “a”, and two second conductors 5 serving as the portions of the other sections “b”. The conductor 2 is formed in such a manner that the second conductors 5 are connected to opposite ends of the first conductor 4 integrally. The conductor 2 is initially formed to be straight. The first conductor 4 and the second conductors 5 are made of copper, a copper alloy, aluminum or an aluminum alloy. Each of the first conductor 4 and the second conductors 5 may have either a conductor structure as twisted strands, or a conductor structure having a shape like a rod rectangular or round in section (e.g. a conductor structure as a square single core or a round single core). Incidentally, each of the first conductor 4 and the second conductors 5 may have a well-known conductor structure having a shape like a plate such as a bus bar, etc. In addition, the twisted wire may be formed as strands twisted around a core material (a wire rod serving as a rigid body). Any combination may be selected as the first conductor 4 and each second conductor 5. For example, both the first conductor 4 and the second conductor 5 may have a conductor structure as a single core or a conductor structure as a twisted wire, or one of the first conductor 4 and the second conductor 5 may have a conductor structure as a single core while the other conductor has a conductor structure as a twisted wire. In FIG. 2A, the first conductor 4 is a round single core made of aluminum, and the second conductor 5 on each of the opposite ends of the first conductor 4 is a twisted wire made of copper. The first conductor 4 and the second conductor 5 configured thus are electrically connected to each other. The connection method between the first conductor 4 and the second conductor 5 is not limited particularly but may be ultrasonic welding, thermal welding etc. The first conductor 4 and the second conductor 5 may be connected to each other in either of the following manners. That is, end surfaces of the first conductor 4 and the second conductor 5 perpendicular to the axes of the conductors may be made to abut against each other and then connected to each other, or end portions of the first conductor 4 and the second conductor 5 may be substantially processed into crank shapes and then connected to each other as shown in FIG. 2B. In addition, the first conductor 4 and the second conductor 5 may have conductor sizes with one and the same diameter or with different diameters. FIGS. 2A to 2C show joint portions 6. When the first conductor 4 and the second conductor 5 have conductor sizes with different diameters, it is effective to apply forming treatment to the first conductor 4 and the second conductor 5 to form a joint portion 6 in order to make the diameters of the first conductor 4 and the second conductor 5 equal to each other. The first conductor 4 corresponds to the route regulation section A in FIG. 1A. Accordingly, the first conductor 4 is formed to be long, and also formed to have rigidity high enough to retain its own shape. On the other hand, the second conductors 5 correspond to the other sections B in FIG. 1 B. Accordingly, the second conductors 5 are formed to have low rigidity but high flexibility. Incidentally, the rigidity high enough to retain the shape of the first conductor 4 means rigidity with which a bent shape of the conducting path 1 can be retained when the conducting path 1 manufactured to be straight is bent by a bender machine etc. The rigidity is effective in arranging the route. The second conductors 5 correspond to the other sections B. Accordingly, the second conductors 5 are disposed on the end portion sides of the conducting path 1. It is preferable that the second conductors 5 are disposed in places to which flexibility is desired to be given on a wiring route. The places where the second conductors 5 are disposed are not limited to the end portion sides of the conducting path 1. In FIG. 2C and 2D, when the conductor 2 which is formed by joining the second conductors 5 to the first conductor 4 is covered with the insulator 3, for example, by extrusion molding, outer circumferential surfaces of the first conductor 4 and the second conductors 5 are covered with a predetermined thickness. When the first conductor 4 and the second conductor 5 are covered with the insulator 3, manufacturing of the conducting path 1 is completed. The insulator 3 is regarded as one made of a well-known resin material having insulating properties. Incidentally, it is also effective to use a functional resin material whose abrasion resistance has been improved (abrasion resistance is simply exemplified). Here, modifications of the conducting path will be described with reference to FIGS. 3A and 3B. A shield cable (conducting path) 11 is shown in FIG. 3A. The shield cable 11 is provided with a conductor 2, an insulator 3, a shield member 12, and a sheath 13. The conductor 2 is formed by joining second conductors 5 to a first conductor 4 (see FIGS. 2A to 2C). The insulator 3 is provided to cover the conductor 2 formed thus. The shield member 12 is provided on an outer side of the insulator 3. The sheath 13 is provided on an outer side of the shield member 12. That is, the shield cable 11 is provided with a conducting path 1, the shield member 12 and the sheath 13. The shield cable 11 is a high-voltage conducting path having a shielding function. The shield member 12 is a well-known braid or metal foil to be electrically conductive. Next, a cabtyre cable (conducting path) 21 is shown in FIG. 3B. The cabtyre cable 21 is provided with two conducting paths 1, a shield member 22, and a sheath 23. The shield member 22 is provided on outer sides of the two conducting paths 1 which are arranged side by side. The sheath 23 is provided on an outer side of the shield member 22. The cabtyre cable 21 is a high-voltage conducting path having a shielding function. Similarly to the shield member 12, the shield member 22 is a well-known braid or metal foil to be electrically conductive. Incidentally, the number of the conducting paths 1 may be three or more. In conjunction with the modifications, the conducting path 1, the shield cable 11 or the cabtyre cable 21 can be used to manufacture a wire harness 31. The wire harness 31 is arranged, for example, in a predetermined position of a hybrid car (which may be replaced by an electric car or a general car), as shown in FIG. 4. A hybrid car 51 is shown in FIG. 4. The hybrid car 51 is a vehicle which is driven by a mixture of two motive powers of an engine 52 and a motor unit 53. Electric power from a battery 55 (battery pack) is supplied to the motor unit 53 through an inverter unit 54. The engine 52, the motor unit 53 and the inverter unit 54 are mounted in an engine room 56 near front wheels in the embodiment. In addition, the battery 55 is mounted in a car rear portion 57 near rear wheels. However, the battery 55 may be mounted in a car cabin which is located at the rear of the engine room 56. The motor unit 53 and the inverter unit 54 are connected to each other through a high-voltage motor cable 58. In addition, the battery 55 and the inverter unit 54 are connected to each other through a high-voltage wire harness 31. An intermediate portion 59 of the wire harness 31 is arranged along a vehicle underfloor 60 and substantially in parallel therewith. The intermediate portion 59 is arranged in a shape-retained state. The vehicle underfloor 60 serves as a well-known body and as a so-called panel member, in which through holes (whose reference signs are not shown) are formed in predetermined positions. The wire harness 31 is inserted through the through holes. The wire harness 31 and the battery 55 are connected to each other through a junction block 61 provided in the battery 55. A rear end 62 of the wire harness 31 is electrically connected to the junction block 61 by a well known method. A front end 63 side of the wire harness 31 is electrically connected to the inverter unit 54 by the well known method. The front end 63 side and the rear end 62 side of the wire harness 31 are flexible so that electric connection of the front end 63 and the rear end 62 of the wire harness 31 can be performed easily. As have been described above with reference to FIGS. 1A to 4, the conducting path 1 according to the embodiment of the invention is formed in such a manner that the conductor 2 including the first conductor 4 and the second conductors 5 connected to each other in advance is prepared and the conductor 2 is covered with the insulator 3. That is, the conducting path 1 is not a cable formed by preparing kinds of electric wires and connecting the prepared kinds of electric wires one by one. When the conducting path 1 according to the embodiment of the invention is compared with the aforementioned cable formed by connecting the electric wires one by one, the conducting path 1 is not high in cost. That is, even in the case where there are different requirement specifications within a wiring route, the conducting path 1 can be configured suitably in accordance with the requirement specifications. As a result, the conducting path 1 is not high in cost. In addition, when the kinds of electric wires are connected one by one, insulation treatment, waterproof treatment, etc. are required. On the other hand, since the conducting path 1 according to the invention is formed in such a manner that the conductor 2 including the first conductor 4 and the second conductors 5 connected to each other is covered with the insulator 3, the aforementioned treatments are not required. As a result, the conducting path 1 according to the invention is not high in cost. On the other hand, according to the wire harness 31 according to the embodiment of the invention, route regulation can be performed even without using any retrofitted exterior member, as understood also from the structure of the conducting path 1. In addition, cost and the number of man-hours can be reduced because the retrofitted exterior member can be dispensed with. Here, the aforementioned characteristics of the embodiment of the wire harness according to the invention will be summarized and listed briefly in the following configurations [1] to [4]. [1] A wire harness (31) including: a conducting path (1), wherein the conducting path (1) includes a conductor (2) and a sheath (insulator 3); wherein the conductor (2) includes a first conductor (4) and second conductors (5) which a connected to each other, the first conductor (4) being disposed in a route regulation section requiring route regulation, the second conductors (5) being disposed in other sections than the route regulation section; and wherein the conductor (2) in which the first conductor (4) and the second conductors (5) are connected to each other is covered with the sheath (insulator 3). [2] The wire harness (31) according to the aforementioned configuration [1], wherein in the conductor (2), the first conductor (4) and the second conductors (5) are connected to each other, and a diameter of the first conductor is substantially same as a diameter of the second conductor. [3] The wire harness (31) according to the aforementioned configuration [2], wherein a forming treatment is applied to the first conductor (4) and the second conductors (5) in order to connect the first conductor (4) and the second conductors (5) to each other in a state that the diameter of the first conductor is substantially same as the diameter of the second conductor. [4] The wire harness according to any one of the aforementioned configurations (1) through (3), wherein a portion of the conducting path (1) corresponding to the route regulation section of the wire harness (31) has rigidity. The invention has been described in detail and with reference to a specific embodiment. However, it is obvious to those skilled in the art that the invention can be changed or modified variously without departing from the spirit and scope of the invention. According to the invention, there is obtained an effect that it is possible to provide a wire harness in which route regulation can be performed without using any retrofitted exterior member and in which cost and the number of man-hours can be reduced because the retrofitted exterior member can be dispensed with. The invention obtaining the effect is useful for a wire harness including a conducting path or a plurality of conducting paths.",B60R160215,B60R1602,20160119,20170509,20160512,62927.0 20,14994127,ACCEPTED,METHODS AND SYSTEMS FOR FACILITATING TABLE GAMES,"A gaming table provides for use of RFID technology to track chip movement on a table game and to infer an association between a wager and a player position based on a chip identifier of a chip placed on a particular position of the table. In some embodiments, previous position history of the chip is also taken into account in determining a player position associated with a wager.","1-20. (canceled) 21. A system for facilitating a card game, comprising: a table apparatus, the table apparatus having positioned thereon a plurality of player positions, each player position for association with a respective player position antenna; and at least one shared position antenna associated with a shared player position, the shared player position for placement of chips by one or more players of a plurality of players, each respective placement of at least once chip on the shared player position serving as an indication of a wager being placed by a particular player of the plurality of players; a processor operable to communicate with each of the respective player position antennas and the at least one shared position antenna; and a memory accessible to the processor, the memory storing (i) information about RFID-enabled chips usable on the table apparatus for a card game; and (ii) a program, the processor being operable with the program to: receive an indication that a first RFID-enabled chip has been acquired at the at least one shared position antenna, the indication including an identifier of the at least one shared position antenna that acquired the first RFID-enabled chip and a unique identifier of the first RFID-enabled chip, thereby determining a first specific RFID-enabled chip and a first acquiring antenna; access, from the memory, information defining a most recent particular player position associated with the unique identifier of the first specific RFID-enabled chip acquired by the first acquiring antenna; determine, based on the information, the first specific RFID-enabled chip as being placed as part of a wager to be associated with the most recent particular player position; and register the wager for the most recent particular player position based on the indication from the first acquiring antenna and the information. 22. The system of claim 21, wherein the processor being operable with the program to register a wager comprises the processor being operable with the program to register a type and magnitude of the wager based on at least one of a denomination of the first specific RFID-enabled chip and a type of wager associated with the first acquiring antenna. 23. The system of claim 22, wherein the wager comprises a re-characterization wager. 24. The system of claim 21, wherein the memory stores a position history of the first specific RFID-enabled chip, the position history indicative of at least one player position the specific RFID-enabled chip has been associated with during the card game. 25. The system of claim 24, wherein the position history comprises an indication of a time at which the specific RFID-enabled chip was acquired at a particular player position of the plurality of player positions and a time at which the specific RFID-enabled chip was determined to no longer be on the particular player position. 26. The system of claim 25, wherein the processor being operable with the program to determine the most recent player position comprises the processor being operable with the program to determine a player position at which the specific RFID-enabled chip was acquired, as indicated in the position history, immediately preceding the receiving of the indication that the specific RFID-enabled chip has been acquired at the first acquiring antenna. 27. The system of claim 25, wherein the table apparatus further includes positioned thereon: at least one second antenna associated with a first player position of the table; and at least one third antenna associated with a second player position of the table, wherein each of the first, second and third antennas is operable to determine an RFID-enabled chip within a predetermined range of the respective antenna; and wherein the processor is operable with the program and at least one of the second at least one antenna and the third at least one antenna to: receive, from one of the second at least one antenna and the third at least one antenna and prior to the indication being received from the first acquiring antenna, an indication of the RFID-enabled chip being acquired at the player position associated with the antenna from which the indication is received, the indication including a unique identifier of the RFID-enabled chip, thereby determining second acquiring antenna for the specific RFID-enabled chip; determine a time at which the indication is received, thereby determining an acquisition time; and store, in the memory and in association with the player position associated with the antenna and the acquisition time, an indication of the specific RFID-enabled chip as having been acquired at the second acquiring antenna. 28. The system of claim 27, wherein the processor is further operable with the program to: determine that the specific RFID-enabled chip is no longer recognized by the second acquiring antenna as being on the player position associated with the second acquiring antenna; determine a time at which the specific RFID-enabled chip is determined to no longer be on the player position associated with the second acquiring antenna, thereby determining an expiration time; and store, in the memory, an indication of the expiration time in association with the specific RFID-enabled chip. 29. The system of claim 27, wherein the processor is further operable with the program to: determine whether the specific RFID-enabled chip has been located within a predetermined range of the second acquiring antenna for a period of time that is not less than a predetermining minimum period of time. 30. The system of claim 29, wherein the determining whether the specific RFID-enabled chip has been located within the predetermined range is performed after receiving the indication from the second acquiring antenna but before storing the indication of the specific RFID-enabled chip as having been acquired at the second acquiring antenna, and wherein the processor is further operable with the program to: only perform the storing in the memory an indication of the specific RFID-enabled chip as having been acquired at the second acquiring antenna if it is determined that the specific RFID-enabled chip has been located within the predetermined range of the second acquiring antenna for the period of time that is not less than the predetermined minimum period of time. 31. The system of claim 30, wherein the processor is further operable with the program to: remove an indication of the second acquiring antenna from the position history associated with the specific RFID-enabled chip if it is determined that the specific RFID-enabled chip has not been located within the predetermined range of the second acquiring antenna for the period of time that is not less than the predetermined minimum period of time. 32. The system of claim 24, wherein the processor is further operable with the program to: determine an end of a current game; and clear the position history associated with the specific RFID-enabled chip from the memory. 33. The system of claim 21, further comprising: a monitor associated with a dealer position of the table, the monitor for displaying to the dealer wagers placed on the table, wherein the processor is further operable with the program to: display on the monitor the wager registered for the particular player position based on the indication from the first acquiring antenna and the information. 34. A non-transitory computer-readable medium storing instructions for execution by a processor associated with a table for facilitating a card game, the instructions causing the processor to perform a method comprising: receiving an indication that a first RFID-enabled chip has been acquired at an at least one shared position antenna, the indication including an identifier of the at least one shared position antenna that acquired the first RFID-enabled chip and a unique identifier of the first RFID-enabled chip, thereby determining a first specific RFID-enabled chip and a first acquiring antenna, wherein the at least one shared position antenna is associated with a shared player position of the table, the shared player position for placement of chips by one or more players of a plurality of players, each respective placement of at least one chip on the shared player position serving as an indication of a wager being placed by a particular player of the plurality of players; access, from a memory associated with the processor, information defining a most recent particular player position associated with the unique identifier of the first specific RFID-enabled chip acquired by the first acquiring antenna; determine, based on the information, the first specific RFID-enabled chip as being as being placed as part of a wager to be associated with the most recent particular player position; and register the wager for the most recent particular player position based on the indication from the first acquiring antenna and the information. 35. The non-transitory computer-readable medium of claim 34, wherein registering a wager comprises registering a type and magnitude of the wager based on at least one of a denomination of the first specific RFID-enabled chip and a type of wager associated with the first acquiring antenna. 36. The non-transitory computer-readable medium of claim 35, wherein the wager comprises a re-characterization wager. 37. The non-transitory computer-readable medium of claim 36, wherein the memory stores a position history of the first specific RFID-enabled chip, the position history indicative of at least one player position the specific RFID-enabled chip has been associated with during the card game. 38. The non-transitory computer-readable medium of claim 37, wherein the position history comprises an indication of a time at which the specific RFID-enabled chip was acquired at a particular player position of the plurality of player positions and a time at which the specific RFID-enabled chip was determined to no longer be on the particular player position. 39. The non-transitory computer-readable medium of claim 38, wherein the method further comprises: receiving, from one of a second at least one antenna and a third at least one antenna and prior to the indication being received from the first acquiring antenna, an indication of the RFID-enabled chip being acquired at a player position associated with the antenna from which the indication is received, the indication including a unique identifier of the RFID-enabled chip, thereby determining second acquiring antenna for the specific RFID-enabled chip, wherein the at least one second antenna comprises an at least one antenna associated with a first player position of the table and the at least one third antenna comprises an at least one antenna associated with a second player position of the table; determining a time at which the indication is received, thereby determining an acquisition time; and storing, in the memory and in association with the player position associated with the antenna and the acquisition time, an indication of the specific RFID-enabled chip as having been acquired at the second acquiring antenna. 40. The non-transitory computer-readable medium of claim 39, wherein the method further comprises: determining that the specific RFID-enabled chip is no longer recognized by the second acquiring antenna as being on the player position associated with the second acquiring antenna; determining a time at which the specific RFID-enabled chip is determined to no longer be on the player position associated with the second acquiring antenna, thereby determining an expiration time; and storing, in the memory, an indication of the expiration time in association with the specific RFID-enabled chip. 41. The non-transitory computer-readable medium of claim 39, wherein the method further comprises: determining whether the specific RFID-enabled chip has been located within a predetermined range of the second acquiring antenna for a period of time that is not less than a predetermining minimum period of time. 42. The non-transitory computer-readable medium of claim 41, wherein the determining whether the specific RFID-enabled chip has been located within the predetermined range is performed after receiving the indication from the second acquiring antenna but before storing the indication of the specific RFID-enabled chip as having been acquired at the second acquiring antenna, and wherein the method further comprises: only perform the storing in the memory an indication of the specific RFID-enabled chip as having been acquired at the second acquiring antenna if it is determined that the specific RFID-enabled chip has been located within the predetermined range of the second acquiring antenna for the period of time that is not less than the predetermined minimum period of time. 43. The non-transitory computer-readable medium of claim 37, wherein the method further comprises: removing an indication of the second acquiring antenna from the position history associated with the specific RFID-enabled chip if it is determined that the specific RFID-enabled chip has not been located within the predetermined range of the second acquiring antenna for the period of time that is not less than the predetermined minimum period of time. 44. The non-transitory computer-readable medium of claim 37, wherein the method further comprises: determining an end of a current game; and clearing the position history associated with the specific RFID-enabled chip from the memory. 45. The non-transitory computer-readable medium of claim 44, wherein the method further comprises: displaying, on a monitor associated with a dealer position of the table, the wager registered for the particular player position based on the indication from the first acquiring antenna and the information.", FIELD OF THE INVENTION The present invention is directed facilitating betting options and tracking of activity at table games.," BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a top planar view of a traditional baccarat table. FIG. 2 illustrates, in accordance with some embodiments, the various species of re-characterization bets that are described herein. FIG. 3 illustrates a top planar view of a smart table for facilitating a baccarat game, in accordance with some embodiments. FIG. 4 illustrates a diagram of an antenna or interrogator layout on a smart table for facilitating a baccarat game, in accordance with some embodiments. FIG. 5 illustrates a simplified schematic diagram of an RFID chip. FIG. 6 illustrates a block diagram of the table of FIG. 3 . FIG. 7 illustrates how chip history for a particular RFID-enabled chip may be tracked and/or stored, in accordance with some embodiments. detailed-description description=""Detailed Description"" end=""lead""?","RELATED APPLICATIONS The present application claims priority to and the benefit of International Application No. PCT/US1139483, filed Jun. 7, 2011 and entitled “METHODS AND SYSTEMS FOR FACILITATING TABLE GAMES”, which claims priority to U.S. Provisional Application Ser. No. 61/352,366 filed Jun. 7, 2010 in the name of Moore et al. and titled SYSTEMS AND METHODS FOR BACCARAT AND BLACKJACK. Each of the above applications is incorporated by reference in its entirety. The present application is related to the following applications (A) through (D), the entirety of each of which is incorporated by reference herein: (A) U.S. patent application Ser. No. 12/255,222 filed Oct. 21, 2008 in the name of Walker et al. and titled RE-CHARACTERIZATION OF BETS AT TABLE GAMES (“the '222 application” herein), which claims the benefit and priority of the following provisional applications: 1. U.S. Provisional Patent Application Ser. No. 60/990,165, filed Nov. 26, 2007; 2. U.S. Provisional Patent Application Ser. No. 61/014,299, filed Dec. 17, 2007; 3. U.S. Provisional Patent Application Ser. No. 61/020,470, filed Jan. 11, 2008; (B) PCT patent application No. PCT/US0854146, filed Feb. 15, 2008, the entirety of which is hereby incorporated by reference, and which claims the benefit of and priority to the following provisional patent applications: 1. U.S. Provisional Patent Application Ser. No. 61/024,827, filed Jan. 30, 2008, entitled Recharacterization of Bets at Table Games; 2. U.S. Provisional Patent Application Ser. No. 61/023,290, filed Jan. 24, 2008, entitled Recharacterization of Bets at Table Games; 3. U.S. Provisional Patent Application Ser. No. 61/020,470, filed Jan. 11, 2008, entitled Method and Apparatus for Playing Baccarat with Late Play Options; 4. U.S. Provisional Patent Application Ser. No. 61/012,230, filed Dec. 7, 2007, entitled Table Game Session Play 5. U.S. Provisional Patent Application Ser. No. 60/943,171, filed Jun. 11, 2007, entitled Blackjack Session Play; 6. U.S. Provisional Patent Application Ser. No. 60/890,328, filed Feb. 16, 2007, entitled Systems and Method for Conducting Casino Games; 7. U.S. Provisional Patent Application Ser. No. 61/028,555, filed Feb. 14, 2008, entitled Proposition Bets for Baccarat and Other Games; (C) PCT patent application Serial No. PCT/US0779518, filed Sep. 26, 2007; and (D) U.S. patent application Ser. No. 11/735,231, filed Apr. 13, 2007, entitled Incremental Revelation of Results in a Game of Chance. FIELD OF THE INVENTION The present invention is directed facilitating betting options and tracking of activity at table games. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a top planar view of a traditional baccarat table. FIG. 2 illustrates, in accordance with some embodiments, the various species of re-characterization bets that are described herein. FIG. 3 illustrates a top planar view of a smart table for facilitating a baccarat game, in accordance with some embodiments. FIG. 4 illustrates a diagram of an antenna or interrogator layout on a smart table for facilitating a baccarat game, in accordance with some embodiments. FIG. 5 illustrates a simplified schematic diagram of an RFID chip. FIG. 6 illustrates a block diagram of the table of FIG. 3. FIG. 7 illustrates how chip history for a particular RFID-enabled chip may be tracked and/or stored, in accordance with some embodiments. DETAILED DESCRIPTION OF THE INVENTION Described herein are systems, processes and articles of manufacture which provide for facilitating wagering activity on an RFID-enabled table (e.g., wagering activity in a baccarat, blackjack or roulette game). In accordance with some embodiments, systems, processes and articles of manufacture provide for associating a particular RFID-enabled chip with a particular player position of the table and tracking the movement and wagering activity associated with the chip throughout game play. In accordance with some embodiments, the recognition and/or tracking of the chip movement allows for a determination of a player's wagering decisions throughout the game, as well as payouts due to the player or losses incurred by the player. In accordance with some embodiments, a system is provided which includes a table having a plurality of antennas or interrogators placed thereon, for use in recognizing the placement of an RFID-enabled chip on one or more positions of the table. In accordance with some embodiments, a table comprising shared or common wagering positions is provided. In such embodiments, wagers made by or on behalf of any of a plurality of players may be placed by placing one or more RFID-enabled chips on such a shared or common wagering position. However, the player position (e.g., and thus the player) associated with a particular wager composed of one or more uniquely identified RFID-enabled chips that is placed on such a common or shared position may be inferred based on a prior placement of the one or more chips. In accordance with some embodiments, bet re-characterizations may be effectuated after initial cards are dealt for a game, either by use of tokens or by tracking the placement or movement of one or more RFID-enabled chips on the table and, in some embodiments, a respective prior position history associated with one or more of the chips. In previously-filed Application '222, Applicants described various embodiments which allow for wagering opportunities through the use of a concept termed herein “bet re-characterization” as well as other bets such as “late” bets and “side” bets. As described in Application '222, a re-characterization bet is a bet that occurs when, after a player places an initial bet within a game, the player is afforded the opportunity to change the criterion by which the initial bet is determined to be a winning bet or a losing bet. In exchange for the right to make this change, the house may increase the house advantage for the re-characterized bet. A late bet is also a bet that takes place after at least one initial card has been dealt, but prior to the final resolution of a given hand or round of play. Given the variety and number of re-characterization bets contemplated by the present disclosure in conjunction with the physical limitations of size and space a gaming table may be allowed to occupy, Applicants have recognized that in some cases, it may be beneficial to provide for common or “shared” betting areas. That is, rather than associating or providing a plurality of physical betting areas for each individual player seated at the gaming table, it may be beneficial to instead offer one or more common betting areas (e.g., each associated with a given wager type), accessible to or usable for a plurality of players. As described herein, in some embodiments, player wagers placed upon such areas of the gaming table may be identified and/or associated with respective player(s) having placed such wagers via one or more RFID sensors incorporated into the layout of the table itself. In one embodiment, a player desiring to place such a wager may indicate his interest in doing so (e.g. audibly, via a hand signal) to the dealer. Thereafter, the dealer may place physical chips representing the player's wager on a first dedicated area of the gaming table associated with the player, the first dedicated area being associated with an RFID sensor. The RFID sensor then transmits an indication of the wager amount and associated player (or player position) to the table computer (or an interrogator on the table determines, recognizes, senses or detects the presence of the RFID-enabled chips in an area of the table associated with the player, including unique identifiers of each of the chips, and transmits such information to a processor of the table), which then stores data associated with the wager. Thereafter, the dealer (and/or player) may move the chips representing the player's wager to a second “shared” area of the gaming table, which may be associated with a second RFID sensor. Upon resolution of a game instance associated with the wager (e.g. upon completion of a hand of baccarat), an outcome associated with the wager is determined (e.g. win/loss) along with any corresponding payout that may be entitled to the player. If the player is entitled to a payout, the dealer may then place chips representing such payout on the second dedicated area of the table. The payout is recorded by the table computer via the second RFID sensor. The original wager and payout may then be placed on the first dedicated are (associated with the first RFID sensor), serving to thereby record an indication of the payout having been provided to the associated player. An example using baccarat is illustrative. In baccarat, a bettor places an initial wager on either the player hand or the banker hand, depending on which hand the bettor thinks will win. The bettor, for this example, bets on the player station. The dealer deals two cards (e.g., two-jack) to the player station and two cards (e.g., four-ace) to the banker position to form an intermediate result (e.g., the player has a 2 and the banker has a 5) short of a final resolution of the game instance. That is, the rules of the game dictate that, in this circumstance additional cards are to be drawn by one or both hands. Based on the cards currently shown, the bettor decides to re-characterize her bet such that the bet is no longer a bet on the player station winning. In particular, daunted by the odds of beating a dealer five, the player re-characterizes her bet so that the changed bet is that the player station hand will include a pair (either two jacks or two twos) upon final resolution of the game instance. The bettor places a re-characterization token on the stack of chips representing her wager (e.g., the token might be labeled “Pair”). By re-characterizing the wager, the bettor replaces the original wager with the re-characterized wager. The game is then resolved upon the player station receiving a hit card (e.g., a four, for a total of 6). Under this fact pattern, the banker also takes a hit (e.g., a nine, for a total of 4). Normally, bettors betting on the player station would win because the player score (6) beats the banker score (4). However, because the bettor had re-characterized her bet into a pair bet, the bettor loses. Assume instead that the player drew a 2, for a total of 4. The banker stands on his five since the player draw card was a two. Normally, the bettor would lose a bet on the player station, but because the bettor had re-characterized her bet to have a pair, the bettor would win. While the pair bet is but one form of bet re-characterization, there are numerous other events, stages, and/or states within the game by which the player may be offered and/or elect to re-characterize her bet. Note also that in this example, the re-characterized bet supersedes the original bet. There are other forms of bet re-characterization discussed in greater detail below such as where the re-characterization bet subsumes the original bet, or splits into a partial original bet and a new bet. As yet another alternative, instead of a re-characterized bet, the new bet may be offered as a side bet or second bet. In any of these situations, the odds may be adjusted to give the house a more favorable house advantage, a less favorable house advantage, or maintain the normal house advantage as desired. Various systems may be deployed to provide bet re-characterization and several examples are provided herein. The present disclosure will focus on baccarat as an example, but it should be appreciated that bet re-characterization may be applied to other table games such as blackjack, roulette, craps, Sic Bo, Pai Gow (tile and poker variations), LET IT RIDE™, CARIBBEAN STUD™, 3-CARD POKER, 4-CARD POKER, SPANISH 21, variants of such games (e.g., Chemin de Fer), or the like. The rules of baccarat are well understood, but the interested reader is directed to www.wizardofodds.com/baccarat for a more detailed explanation. Turning now to FIG. 1, illustrated therein is a traditional baccarat table 10 with a dealer station 12 and a plurality of player stations 14. A brief overview of how traditional baccarat is played is provided herein with reference to FIG. 1, as a reference for readers unfamiliar with the game of baccarat, since a good understanding of the traditional rules of baccarat as well as a layout of a traditional baccarat table may be helpful in understanding some of the embodiments described herein. The dealer station 12 is sized to accommodate two dealers, one on either side. Many “high roller” style baccarat tables actually have three dealers present, and the dealer station 12 may provide room for the number of dealers assigned to the table. The dealer station 12 is shown to include a chip rack 16, as well as commission indicia 18, bank hand area 20, and tie bet indicia 22. The chip rack 16 is sized to accommodate chips and plaques as is well understood. The commission indicia 18 allow the house to keep a record of any commissions that the player may owe for betting on the banker hand. Players usually settle the commission at the end of the shoe and/or before leaving the table so as to minimize disruption of game play. As illustrated, commission indicia 18 are divided into boxes for each player station. The banker hand area 20 is the place to which the cards forming the banker hand are dealt. The tie bet indicia 22 are the locations on the table where a player may indicate a wager on a tie between the banker hand and the player hand. Again, the tie bet indicia 22 are divided so that there is a box for each player station. While the tie bet indicia 22 may conceptually be thought of as part of the player stations 14, the positioning of the tie bet indicia 22 in the center of the table makes it impractical for a player to position a wager therein, so in most instances, the dealer will position such a wager, and thus, for the purposes of the present disclosure, the tie bet indicia 22 are included within the dealer station 12. While not illustrated in FIG. 1, some baccarat tables have display panels that indicate recent historical outcomes. Players sometimes use such historical outcomes in an effort to predict trends within a series of game instances. Each player station 14 includes a chip area 24 where the player may position her chips. A player bet area 26 exists in front of each chip area 24. As illustrated, the player bet area 26 is not specifically delimited for each player station, but such indicia are sometimes present. Additionally, each player station 14 includes a bank bet area 28 with appropriate indicia to link wagers placed therein to a particular player station 14. The dealers may use a shoe (not shown) to hold cards and a paddle or wand 30 to move cards and/or chips to particular locations on the table 10 as is well understood. It should be noted that the term “token” is used herein to denote one mechanism via which a re-characterization bet may be placed (other embodiments which provide for placement of a re-characterization wager without the use of any tokens are also described). While illustrated as something that looks like a chip or coin, it should be understood, that as used herein, the term “token” is defined to be a physical element capable of indicating a bet re-characterization (e.g., a physical chip bearing indicia corresponding to a particular bet re-characterization). Specifically included within the definition of token are chips, coins, markers, lammers, buttons, cards (perhaps uniquely marked), dice, tickets, or other paper substrate, a ring, a bowl, a chip tray or sleeve, a chip clip, and charms. The indicia may be textual, graphical, color-coded, or the like. For example, a blue button may denote a first type of bet re-characterization and a red button a second type of bet re-characterization. Color codes could be published and understood by the public in much the same manner that chip color codes denote value and are understood by the public (e.g., green=twenty-five dollars). More esoteric tokens are described in greater detail in the alternate embodiment section below. Various embodiments of using tokens to re-characterize bets are described in the Application '222 and such embodiments are particularly incorporated by reference herein. Various methodologies and mechanisms for for providing tokens and/or restricting their availability and/or use are described the '222 application and this aspect of that application is particularly incorporated by reference herein. Types of Re-Characterization Bets There are many different ways bets may be re-characterized. As used herein, “re-characterize” and “re-characterization” are generic terms that encompass the various ways in which initial bets may be changed into new or altered bets. Within the definition of re-characterization, there may be considered to be three distinct embodiments. The first embodiment is a re-characterization bet that supersedes the initial wager. If a re-characterization bet supersedes the initial wager, then the entirety of the initial wager becomes the new wager. There is no portion of the initial wager left. Likewise, the new wager is for the same amount of value as the initial wager. The second embodiment is a re-characterization bet that subsumes the initial wager. If a re-characterization bet subsumes the initial wager, then the entirety of the initial wager becomes part of the new wager. There is no portion of the initial wager left. However, additional value is added to the initial wager such that the new wager is for an amount greater than the initial wager. Note that the additional value can come in the form of additional chips (e.g., a player increases her wager from $100 to $200) or from adding a bet re-characterization token 32 that has value (e.g., a player paid $10 for a token 32 and adds it to the initial $100 wager resulting in an effective wager of $110). The third embodiment is a re-characterization bet that splits the initial wager into a re-characterized portion and a diminished remaining portion. For example, the player may make an initial wager of five hundred dollars on the banker position, and then re-characterize the initial wager by splitting the initial wager into a two hundred dollar wager on a pair and a three hundred dollar diminished initial wager on the banker position. The ratio of the split may be dictated by the re-characterization or by the player as desired. For example, some re-characterization bets may require a fifty-fifty split between the re-characterized portion and the diminished initial portion, others may require a seventy-thirty split or some other ratio, and still others may leave it to the player to decide how to split the initial wager. Note that for split bets, in some embodiments, two tokens may be used. The first token is put on the re-characterized portion as previously described, and the second token is put on the diminished initial portion and may state that the diminished initial portion is paid out at normal odds (e.g., the token indicates “even money” or “normal odds”). The two tokens may help reduce confusion by players that think both wagers are paid at the new odds and by dealers who may need to pay each stack of chips at different odds. In contrast to a re-characterized bet, some of the bets described herein may also be implemented as side bets, second or “late” bets, or proposition bets. While there is a substantial body of literature on such bets, the concepts are distinct. Side bets differ from the concept of a re-characterized bet in that side bets keep the initial wager intact and add the side bet. For example, in THREE-CARD POKER, there is the ante bet (the initial wager) and the pair-plus wager (the side wager). Each wager is distinct and does not affect the other. Late bets may be thought of as side bets that occur after an initial wager has been placed (e.g., during an intermediate stage of a game); however, these are additional bets, and do not re-characterize the initial wager. Likewise, some of the bets described herein may be implemented as a proposition bet (commonly, a bet with somewhat long odds that may be placed without an accompanying base game wager). Again, the concepts are distinct. A proposition bet does not rely on any pre-existing initial wager that is re-characterized. Rather, the proposition bet is a standalone bet on a particular event such as a hard way eight in craps. It should be noted that while most of the discussion below focuses on re-characterized bets, the present disclosure is not limited to re-characterized bets, and the techniques described herein may readily be extended to such proposition, side, and/or late bets. A summary of the various definitional distinctions is presented in FIG. 2. What follows is a list of various particularly contemplated types of bet re-characterizations and other wagers suitable for use on the tables of the present disclosure. Note that many of the different types may be implemented as supersede re-characterizations, subsume re-characterizations, or split re-characterizations. “Hedge”—Player places a hedge bet on the position not initially selected, sort of like an insurance bet. Ex: a player places an initial wager on the banker position, but the deal is player 9-4, banker Q-7. The player may place a hedge bet on the player side. The hit card is a 5, resulting in a player hand win of 8:7. The original wager loses, but the hedge bet on the player hand wins. “Win by X”—Ex: A player places a “Wn by Two” token, his original bet must now win by a margin of at least two. If it does, he may be paid at a higher rate. Any margin amount may be substituted for X. Outcomes of a tie or push may result in a loss of the player's bet. In one example embodiment, a player re-characterize to bet “Win Big,” meaning his bet pays an adjusted amount if the player wins by a margin of 2, 3 or 4 (in some embodiments all other wins are losses or pay at less than even money). In another example embodiment, a player may bet “Win Giant,” meaning his bet pays an adjusted amount if the player wins by a margin of 4, 5, 6, 7, 8 or 9 (in some embodiments all other wins are losses or pay at less than even money). “Roll Over”/“Next Hand”/“Pass”—Ex: When a player places a “Roll Over” token, his original bet is “pushed forward” or moved to a subsequent hand. In one example, the player may also be required to post an additional minimum bet on the subsequent hand to do this. In other words, the player might “rescue” a disadvantaged original bet from Hand #1 and push it forward to Hand #2, but he must also agree to post a separate minimum bet on Hand #2. The player may be given a choice as to whether the bet for Hand #2 is on the player hand or the banker hand. If the original bet from Hand #1 wins on Hand #2, it pays at lesser odds (e.g., dynamically calculated based on the first four cards dealt in Hand #1). In one embodiment, the bet for the second hand must be at least equal in amount to the bet for the first hand. In other embodiments, an additional minimum bet on Hand #2 may not be required; instead, by playing a “Next Hand” token, a bet form Hand #1 is simply pushed forward to Hand #2 where it pays at lesser, adjusted odds if it wins. As yet another option, the players could demur on a first hand in exchange for premium odds or other benefits payable in a second (or subsequent or multiple subsequent) hand. For example, a player could accept a “next hand” wager on a favorable six and pay no commissions on the next two hands. “Two (or more) in a Row”—Ex: A bettor wagers $100 on “banker”. After the initial deal, it becomes clear that the banker side is ahead, 8-2. The bettor then places a “Two in a Row” token. The bettor must now win this hand as well as the subsequent hand. If he does, he is paid at better odds (e.g., the calculation considers the odds of winning the first hand given the first four cards, as well as the odds of winning the second hand, and a house edge). In one embodiment, the bet for the second hand must be at least equal in amount to the bet for the first hand, though a new bet for the second hand may not be required. In a variation of this, a player could bet that he will lose two or more hands in a row. In another variation, the player can bet that he will win at least a predetermined amount of hands over the course of two or more hands. “9 Insurance”—Ex: A bettor places a bet on “banker” in baccarat. After the first four cards are dealt, the banker is ahead, 7 to 5. The player places a “9 Insurance” token. If the bettor wins, his original bet is paid at a lesser rate (e.g., dynamically calculated based on the first four cards dealt). If the bettor loses to a “9” (the “player” position draws a “4”), his bet pushes. Thus, the insurance protects players from losing to a “9”. In variations, bettors might be protected from opposing outcomes other than “9”. For example, insurance might protect against any loss by a margin of 1 (a “bad beat”). In another example, insurance might protect any loss. In one embodiment, a player insures his bet by paying a dynamically-priced premium (based on the cards in play and the player's original bet). In yet another example, insurance may protect against natural, such that a player may get his bet returned if he loses to a natural (and/or, in some embodiments, may get paid less on all other wins). In still another example, insurance may protect against a hand not improving, such that a player who places such an insurance bet is paid an insurance payout if, after two cards have been dealt, a third dealt card lowers the value of the hand. “Add 2”/“Extra Points”—A player of a baccarat game can indicate that he or she would like to “purchase” extra points at any time towards the hand he or she has wagered upon. For example, after the first four cards have been dealt, two to the Player Hand and two to the Banker Hand, the Player has “4” and the Banker has “6”. Bettor A wagering on the Player Hand may then indicate (e.g., by use of a token) that he or she wants two points (or another number of points, as this embodiment is not limited to a particular number of points) added to the Player Hand total. The hand is then resolved, however when bets are settled, Bettor A's wager is settled based on the final total of the Player hand+2 and the final total of the Banker hand. In some embodiments, a player may have the option to “deduct” or subtract points from one of the hands on the table. If the bettor is putting himself in a worse position, he or she may be given a benefit (e.g., a bonus, a higher payout, advantageous rule change, etc.). In some embodiments, points can always be added to a hand unless they give the player a Natural. A player with a “7” cannot use a +2 chip because it results in a natural. In some embodiments, points are not added if the hand results in a natural without the added points (e.g., the Player hand results in a “9”, even for a bettor that has used a +2, the hand total is still 9 (the +2 is ignored on specified predetermined totals)). In some embodiments, points are always added regardless of the outcome (e.g., the Player hand results in a “9”, but a bettor that has used a +2 now has a “1”). In some embodiments, regardless of what the next/hit card is, the points are added to the hand. In some embodiments, the traditional hit rules apply to those who have used the “extra point” option. For example, normally, the Player Hand hits on anything less than a five and stands on 6 or above. If the Player Hand has a “4” and chooses to add 2, resulting in a “6”, then the hit does not apply (e.g., the Player Hand becomes pat for that specific player). The same rules may be used if the player has wagered on Banker. For example, the Player Hand totals “4” and the Banker Hand totals “4”. Bettor A uses a +2 option for the Banker hand resulting in a “6” and the Player Hand then draws a “4”. The Dealer deals another card for the Banker, but it does not apply when settling Bettor A's wager according to the hit rules in baccarat. “Press”/“Raise”—Ex: By using a “Press” token, a bettor can increase his bet mid-way through a hand. For example, after the first four cards of a baccarat hand are dealt, the bettor can place a token and increase (e.g., double) his bet. Both his original bet and the late bet may be paid at an adjusted rate (e.g., dynamically calculated based on the first four cards dealt) thus resulting in a re-characterization of the initial wager. In other embodiments, only the added amount may pay at an adjusted rate. In one embodiment, the total payoff for both the original wager and late bet may be paid at an adjusted rate, though by subtracting some payout for the late bet amount, the player may be given the illusion that the original wager is paid at even money (e.g., the player bets $10, and then uses a “Raise” option to add $100; the adjusted payout considers the entire $110 bet and pays $87.50; when paying this amount, the dealer pays the original bet an even $10, and pays the late bet $77.50). In one embodiment, there may be a limit to the amount of money which a player may bet through such a re-characterization, though this may not be necessary if a high enough house edge is used (the house's appetite for risk exposure increases with the house edge, as the house is happy to book even extremely large bets at a high house edge). In some embodiments, this maximum bet amount may be dynamically determined (e.g., based on factors such as the player's profile, the house's financial predicament in a given month, etc). “Switch”—Ex: After betting on “banker,” a bettor decides mid-way through a baccarat hand he would rather bet on “player”. The bettor places a “Switch” token and the player's bet switches sides. The bet is paid at an adjusted rate (e.g., dynamically calculated based on the first four cards dealt). For example, after the initial value of the player and banker position cards is determined, the player may be offered the ability to alter his wager from a given side to the other (e.g. from banker to player), albeit at non-standard odds. In such cases, the odds and/or payouts offered may be determined (e.g. by the table computer) based on the initial hand values, probabilities associated with the hand values and/or based on the composition of cards previously and/or yet to be dealt (e.g. based on cards remaining in the shoe). “Split (to Tie)”—Ex: After betting $100 on “player,” a baccarat bettor decides he′d like to take some of his original bet and place it on another outcome. For example, the bettor takes $25 from the base bet, and adds a “Split to Tie” token on top. Thus, his original bet has now been split between two outcomes—the $75 base bet pays 1:1 if “player” wins, and the $25 bet pays at an adjusted rate should a tie occur (e.g., dynamically calculated based on the first four cards dealt). As described, this is an example of a split re-characterization. A player can “split” to various outcomes other than “Tie” in this manner. Further, in some embodiments, when players want to re-characterize only a portion of their initial wager, they may use a “Split,” “Divide” or “Half” token. A “Half” token might indicate that a given re-characterization applies only to half of an original wager. Half tokens may be used in combination with other tokens (e.g., a player placing “Half” and “Win by Two” tokens means he is re-characterizing half of his base bet to an outcome of “Wn by Two”). Half tokens may be “smart” or incorporate RFID technology. In some embodiments, the re-characterized portion and the portion remaining on the original bet may have different associated house edge values (e.g., the original bet stays at 1.2% while the re-characterized portion pays an adjusted amount based on the re-characterization, the cards in play, and a larger house edge value). In other embodiments, re-characterizing a portion of an original wager may also affect the house edge of the non-re-characterized portion. Note that “splitting” or dividing a wager amount is separate from a re-characterization that splits a single two-card hand into two separate one-card hands (described below). “Multi-Split”—A player can divide his original wager into multiple portions, each betting on a different outcome. For example, a player might divide his bet into three portions, one portion representing 50% of the original wager, and two 25% portions. The 50% portion may remain on the original wager (e.g., Player or Banker in baccarat), and pay at predetermined odds. One 25% portion may be re-characterized to “Big Win” and the other 25% portion may be re-characterized to “Giant Win,” each paying at different adjusted rates. Of course, players may subdivide their original bet into any number of re-characterizations (not just 2 or 3), other percentages may be used (e.g., three portions of 33%), any or all portions need not be equal, and players may split into numerous different types of re-characterizations described herein (not just “Big Win” and “Giant Win”). Card-Matching Bets—Ex: A player of a baccarat game may place a wager on a card-matching outcome involving cards in play. For example, the outcome may use cards from both hands, sometimes including the hit cards as well. Exemplary matching outcomes that may be wagered on via re-characterization include: four of a kind (e.g., any four of the same value card, or four cards of a specific value, such as four eights), straight, flush, full house, straight flush, cards of the same color, or the like. “Any Pair”/“Late Pair”—Ex: After the initial deal, the bettor can bet that his hand includes a pair (if it does not already, or if it does, perhaps he can bet that his hand will include three matching cards). If the hand in question includes a pair after the draw, the player is paid at adjusted odds (based at least in part on the post-deal expected value (EV) of his original bet and the likelihood of achieving a pair). “Perfect Late Pair”—Ex: Same as “Late Pair,” except cards must be a perfect match, and pays at longer odds. “Tie-Breaker”/“Wn or Tie”—Ex: A player may use an option that breaks any possible ties. For example, if the player has chosen to have a tie-breaker, the player is paid if the hand wagered on wins the hand OR on a tie, and the wager is collected if the hand loses. “Draw to ‘9’”—Ex: After a player has seen a partial deal, the player may make a wager that the final total of his or her hand will be a predetermined number (e.g., the first two Player Cards total 5, a player may indicate or wager that the hit will bring the final to total to “9”). “Deny the Hit”/“Two-Card Hand”—Ex: A player may choose not to have one or more dealt cards count towards the final outcome. For instance, the first card dealt to Player is a “7”. At this point, a player may indicate that any other cards dealt to the Player hand do not count (i.e., he or she locks the “7” as the player hand total). “Take Down”—Ex: A player may be able to rescue a losing wager by pulling back all or a portion of a wager. For example, by playing a “Take Down” token, a player in a disadvantageous situation can remove half of his original bet, with the remaining half paying an adjusted payout upon win. “Sure Thing”/“Instant Win”/“Settle”—Ex: After betting $500 on “player,” a baccarat bettor sees after the first four cards are dealt that his bet is at an advantage (e.g., he is ahead 7-3). Rather than risk losing the bet to a bad beat, the bettor places an “Instant Win” token. This token settles the bet for its Expected Value (EV), minus a house edge (though the amount subtracted from the EV may consider other factors, such as player status). This “locks in” the player's win. “Free 6”—bettor can request a “Free 6” in baccarat after seeing the initial deal. Ties may result in a push, or may result in the bettor's loss. Wns are paid at an adjusted rate. For example, the player might select “Banker” and “Quick 6,” giving the banker side a starting or final hand value of 6 (hit cards may or may not be applied). In baccarat, the predetermined hand value may or may not apply to other players betting on the same side. In exchange for taking the “Quick 6,” the player may agree to a reduced payout (e.g., if he wins, he gets paid 2:3), or an offsetting, disadvantageous rule change (e.g., ties lose). Of course, similarly, prior to the deal, a bettor can request that he′d like a hand of any predetermined value, such as a 7 (i.e., this type of bet is not limited to a 6 or any particular value). “10 is a 2”—Ex: After the initial deal, the bettor can play a “10 is a 2” token and turn all 10-value cards (e.g., face cards or 10s) for the side he has bet on into a “2”. Any card value can be changed to any other card value with this mechanic. Wins are paid at an adjusted rate. This change in card value may be personal to the player requesting it in some embodiments. In other embodiments, the change in card value may apply to the whole table. “Pushes Lose”/“Ties Lose”/“No Tie”—Ex: After the initial deal, the bettor can play a “Pushes Lose” token. If he wins, he is paid at better odds. If he ties, his bet is lost. In other words, in some embodiments a player may bet that a tie will not occur. If a tie is indeed absent, the player may receive a payout for his wager at greater than 1:1 odds (e.g., his bet may pay 6:5 or even 3:2). However, if a tie occurs, the player may lose his bet. For example, the player bets $100 on “Banker” and places a green “No Tie” token on top of his wager or upon a dedicated area of the gaming table. A tie does not occur and the Banker side wins. The player is paid $120 for his $100 bet. “Pushes Win”/“Ties Win”—Ex: After the initial deal, the bettor can play a “Ties Win” token. If his hand ties or exceeds the opposing hand, his bet wins, but is paid at an adjusted rate. For example, an outcome of “Tie” results in a player winning his bet (e.g., at a payout of 1:1). If the bettor wins outright (e.g., places $100 on “Banker” and the “Banker” side wins, 7-6), he may be paid at less than even money (e.g., 4:5). “Hop Bets” (e.g., 9-0)—Ex: After the initial deal, the bettor can bet that the current hand will resolve to a particular point score on both sides (e.g., 9-0). The bet can be re-characterized to wager on any such specific score, or range of scores. Each would pay at its own adjusted odds. In one embodiment, the bettor may be paid at odds better than 1:1 if the score comes up (e.g., 4:1 or even as high as 10:1), but accept payouts lesser than 1:1 (e.g., 4:5) if he otherwise wins. In another embodiment, the bet may only win if the specific score comes up, and otherwise loses. “Long Shot”—Ex: After the initial deal, the table can calculate the “longest shot” in terms of point score on both sides, and offer this bet. Players can re-characterize and bet only on this long-shot, which pays at high odds. “Wn Two Ways”—Ex: After the initial deal, the bettor plays a “Win Two Ways” token. First, the side he originally chose must win according to standard baccarat rules. However, the same side must also win according to a cumulative count of the card values in each hand (e.g., 5-7-3 is a “15” by this count, in contrast to being counted as a “5” in standard baccarat rules). If the bettor wins both of these, he is paid at adjusted odds. Winning only one of the two ways is not enough, and the bettor loses his bet. “Freeze”—Ex: in some situations, a player may be dealt a preferable hand, but because of the strict draw rules in baccarat, the hand ends early. For example, a deal with a Player hand of 6 and a Banker hand of 7 ends after the deal. In such a situation, a player may place a “freeze” token to allow her wager or hand total to roll into the next hand. For example, a player bets on Player and the initial deal is 7-7. The player places a freeze token on his wager which carries the player total of 7 into the next hand. The player's payout is rated in the next hand and she may be required to add additional value to the wager. “Alternate Draw”/“Reach Back”—Ex: in some situations wherein a draw does not usually occur, a player may wish to force a draw. Wins may be paid at an adjusted rate. The extra card may come from the shoe, a previous hand, an electronic random number generator, or other source as desired. “Split”/“Form Two Hands”—Ex: as is common in blackjack, a player may be able to split a two-card baccarat hand into separate hands, and play each separately against the opposing hand. “No Zero”/“No Four”—Ex: a bettor wagers that his hand will not be of a certain final value, such as 0 or 4. In some embodiments, an outcome of the specified value of the bet results in a loss, even if it normally would have resulted in a tie or win. In some embodiments, other wins result in a higher adjusted payout in exchange for this penalty (e.g., 6:5). “No 10s/Specific Values on a Side are Automatic Losses”—The bettor wagers that the side he has bet on will not include a card with a value of 10 (10, J, Q, K). If it does include a 10 and he wins, he may either lose his bet or be paid at less than even money. If it does include a 10 and he loses, the player loses his bet. If it does not include a 10 and he wins, he wins greater than even money. If it does not include a 10 and he loses, he may be paid less than even money. “All Red or All Black”—If the bettor's cards are all red or black, he may be paid a bonus payout. For this benefit, he may accept lesser payouts on normal wins or an offsetting negative rule change. In another embodiment, the bettor must win with all red or black cards to win his bet, but it pays more than even money (e.g., 10:1). “Even or Odd”—In one embodiment, the player must win with all even or odd cards to win his bet, but it pays more than even money (e.g., 10:1). In another embodiment, the player must win with a hand value that is even or odd. “Win with a Pair”—The bettor must win, and his hand must include a pair of cards. “Triple Delight”—If the bettor's hand includes three cards of the same value (e.g., 66♦64), he is paid a large payout. In exchange, he accepts slightly less than even money if he wins without having three cards of the same value. “Lead and Wn”—If the bettor's hand is of greater value than the opposing hand 1) after the first two cards have been dealt, and 2) after any draw cards are applied to the hands, he wins a payout at greater than even money (e.g., 3:1). In exchange, he might give up ties (ties lose), or accept less than even money on wins. “Super 8”—The player places a bet on “Super 8”. The bet in imprisoned until the player loses, or achieves an 8. Each time the player wins without a hand value of 8, the bet is paid 1:1, but it is imprisoned and “rides” until the next hand. If the player loses, the full accumulated amount is taken by the house. If the player hits an “8,” the entire stack is multiplied by a factor, such as 3:2 or 3:1. Thus, the player stands to win a lot of money if he hits an 8 before losing. “Three Way Win”—The player bets that his first card will beat the opposing hand's first card, his second card will be the opposing hand's second card, and that his total score will beat the opposing hand's total score. “Strong Start”—The player bets that his side will be ahead after the first four cards are dealt. “Get Close”—Ex: A bet is re-characterized such that a player wins if he/she gets “within 1” or “within 2,” on either side of the opposing hand (or perhaps only the negative side). “Two Losses”—Ex: Same as “Two in a Row,” except bettor wagers that he will lose. “Big Loss”/“Giant Loss”—Ex: Same as “Big Win”/“Giant Win,” except bettor wagers that he will lose by the given margin. “Win Wn Plus”—Ex: The bettor bets that he will win the current hand, as well as the next hand. The second hand must be won by a greater margin than the first. “Win by More”/“Improved Win”—Ex: If the bettor is up 2-0, and he plays a “Win by More” token, he must win the hand by a margin of 3 or more (more than the margin after an initial deal). “Bet on Next Card”/“Monkey Hit”—Ex: The bettor can abandon his original wager and instead wager that the next card is a particular rank and/or suit (e.g., the next card has a value of “10”). “20 to 1”—Ex: For any given hand, a different re-characterization might pay 20:1, though the exact re-characterization required to pay this amount might change from hand to hand. The player simply places a “20 to 1” token or places his bet in a “20 to 1” circle and accepts whatever re-characterization is required. “Best of Two Hands”—Ex: A baccarat bettor wagers on banker and is behind 0-4 after the first four cards are dealt. He chooses to utilize a “Best of Two Hands” re-characterization. If the banker position turns out to win either the current hand or the next hand, the bettor is paid a small adjusted payout. The player loses his bet only if both hands lose. “Two Ways to Win”—Ex: A baccarat bettor wagers on player and is ahead 7-1 after the first four cards are dealt. He chooses to utilize a “Two Ways to Win” re-characterization. His bet now pays (i) a first adjusted amount should the player position end up winning or (ii) a second adjusted amount should a tie occur. The first and second adjusted amounts are based at least on the likelihood that either situation occurs (the player wins or a tie occurs). This provides players with a chance to win in different ways. “Jackpot”/“Progressive”—Ex: a player re-characterizes his wager to an extremely unlikely outcome, such as “4×4” (the Player and Banker hands each feature two 4). This bet may pay a jackpot amount. The jackpot amount may be adjusted based on the odds of occurrence and a house edge (as other re-characterizations are), may be flat, may be “progressive” (increasing in size as funded by players, until it is hit), “personal” progressive (each individual builds toward his/her own jackpot), or some combination of these. “Late Player”/“Late Banker”/“Late Tie”/“Late Action”—Ex: After seeing two or four cards dealt in a hand of baccarat, assuming no natural is in play, the player can place a late bet on “Player,” “Banker” or “Tie,” paying at adjusted odds. For example, after the initial value of the player and banker position cards is determined, the player may be offered modified odds or payout against any additional funds the player wishes to wager at that time. For example, a player may be offered dilutional odds of less that 1:1 if/when it is determined that the player placed an initial wager on the Player position and the player hand dealt is determined to be relatively strong in comparison to the banker hand dealt. “Natural 9 Pays Triple”—a player may be paid triple what would otherwise be owed to him if he wins with a Natural 9 and had this type of bet placed for the hand. In some embodiments, the player may be paid less on all other wins for such a hand. In one embodiment, the Natural 9 must win to be paid the re-characterized bet odds (e.g., 9-9 may still be a push). “Natural 8 Pays Double”—in some embodiments, a player may be paid double what would otherwise be owed to him if he wins with a Natural 8 and had this type of bet placed for the hand. In some embodiments, the player may be paid less on all other wins for such a hand. In one embodiment, the Natural 8 must win to be paid as the re-characterized bet odds (e.g., a loss to a 9 may still be a loss, and an outcome of 8-8 may still be a push). “Tie on the Deal”—a player who places this bet may be paid even money if after first two cards are dealt to each hand, the score is 9-9, 8-8, 7-7 or 6-6. In some embodiments, a player placing this bet may be paid less on all other wins. “No Draw”—Bettor automatically wins if there are no cards drawn to either hand. In some embodiments, the bettor may be paid less on all other wins. In some embodiments, the bettor can only be paid on the No-Draw outcome or if the hand wins. For example, if there is a no-draw situation and the player's hand wins, the player is not paid on both outcomes. In other embodiments, the player is paid on both outcomes. “Any 8”—a bettor is paid if either hand position is an 8. In some embodiments, the bettor may be paid less on all other outcomes. “Second Chance”—for a player who places this bet, the outcome in a no-draw situation may be re-evaluated based on individual hand characteristics. For example, the highest card in each hand may be compared, or the lowest card's value may be doubled and added to the hand's total. In another embodiment, the difference between the two cards in each hand may be found and added to the hand's value. Thus, the player's bet is given a “second chance”. In situations where a hand ends in a no-draw tie (e.g., 7-7, 6-6) the tie may be broken based on one or more individual hand characteristics. In situations where a hand loses to a natural (e.g., 3-8, 4-9, etc.) the outcome may be re-evaluated based on one or more individual hand characteristics. “Surrender for Free”—In some embodiments, after the initial value of the player and banker position cards is determined, the player may be offered a settlement payment (or other consideration) in exchange for agreeing to have his wager resolved prior to the determination of a final outcome associated with the game instance. For example, after the initial value of the player and banker position cards is determined, the player may be offered the opportunity to reduce (or even withdraw entirely) the amount of his initial wager (“Surrender for Free”). Alternatively, the player may be offered an immediate payment (e.g. based on the player's wager amount, or other factors) in exchange for forgoing any payout that the player may eventually be entitled to upon the determination of a final outcome associated with the game instance (an Instant Wn). In some embodiments, the determination of such offer(s) may take into account one or more of: (i) the composition of cards remaining in the shoe and yet to be dealt; and/or (ii) the composition of cards having previously been dealt with respect to the given shoe. In some embodiments, this taking into account may serve to establish a payout factor (e.g. odds) or payout amount (e.g. $X) to be offered to the player prior to final resolution of the game instance. In some embodiments, incremental profitability or house edge may be realized by this taking into account (e.g. players may perceive the odds or payouts offered as a reflection of a “neutral” or “fair” composition of cards remaining to be dealt, when in fact a table computer may incorporate data regarding cards composition(s) into the determination of such payouts and/or odds). Some example “Instant Win” Payout Calculations follow. In some embodiments, a first step to calculating an Instant Win payout may be to determine whether the bet is eligible for Surrender or Instant Win. In some embodiments, hands characterized as “Underdog” hands may be eligible for Surrender offers, while hands characterized as “Favorite” hands may be eligible for Instant Win offers. For example, in some embodiments a wager or hand may be categorized as an underdog or favorite based on whether its expected value in the current situation would be positive or negative on a new shoe (e.g. where card composition needn't be considered). Wagers with a positive expectation, e.g. a Player bet with a current hand total of six versus a Banker total of three, may be characterized or considered “Favorite.” In some embodiments, “favorite” hands are offered Instant Win payouts that are dynamically calculated based on hand situation and remaining card composition in the shoe. In some embodiments, such Instant Win payouts are different in the way that they are bounded on both the top and bottom. For example, in some cases, the bottom bound for favorite hands may be to offer “Surrender for Free,” (e.g. the option for a player to have his bet returned with no payout and no penalty). In some embodiments, if it is determined that offering Surrender for Free would result in an acceptable house advantage, it is indeed offered and the acceptable range of house advantage percentages is configured in the paytable file of the table computer. In some embodiments, if Surrender for Free is not offered, an Instant Win payout may be dynamically calculated for the wager. The payout may bounded by the payout value for a new shoe. That is to say, the offered payout is the lesser of the dynamically calculated payout for the current shoe composition, and a static neutral-shoe payout based on a new shoe. If the expected value of the wager is too low to offer any of the above options with an acceptable house advantage, the Instant Wn option may be disabled with respect to the given wager. In some embodiments “underdog” hands may be bounded by Surrender for Free as a maximum payout. Like favorite hands, underdog hands may be offered Surrender for Free when the house advantage of such an offer is within an acceptable range. Alternatively or in addition, underdog hands may be offered Surrender for Free when the shoe composition causes the underdog wager to become a favorite. In some embodiments, if Surrender for Free is not offered, a Surrender offer is dynamically calculated. In such a case, the Surrender offer offers to return a portion of the player's wager. In accordance with such embodiments, the offered Surrender value will be the greater of the dynamically calculated value and a static neutral-shoe value based on a new shoe. In some embodiments, if it is determined that the calculated Surrender value is less than X % (e.g. 5%) of the wager, the Surrender option will be disabled for this wager. This threshold value can be adjusted (e.g. by a casino administrator) in the paytable file of the table computer. In some embodiments, a player may be required to pay for the possibility/option of having the “Surrender for Free” feature available to him during game play A variety of late options related to a wager in baccarat are discussed in the '222 application, previously incorporated herein by reference for all purposes. As alluded to above, the odds for the re-characterized wager are infrequently the 1:1 or 0.95:1 odds of the initial wager. Rather, the re-characterized wager may be paid out at odds that are determined by one or more of the following factors: (i) the probability that the re-characterized wager will result in a win (e.g., given factors such as a) the current cards in play, b) historic cards dealt from the same shoe (so as to mitigate the exposure to card counters), and c) the intermediate stage of the hand at which the re-characterization is made (a re-characterization placed with only one card in play will pay differently than one placed with four cards in play), (ii) the amount of the original bet, (iii) the amount of a second bet associated with the re-characterization (if applicable), (iv) the expected value (EV) of the original bet, (v) a player status rating (some players may receive better payouts than others), (vi) rules for rounding payouts to even amounts, and/or (vii) a house advantage (the house pays winning re-characterizations “EV minus a given house advantage, plus/minus any modifications for player status”). The modified odds are sometimes referred to herein as the adjusted odds or the rated odds. Similarly, the payout may sometimes be referred to herein as the adjusted payout or the rated payout. When re-characterizations implicate a plurality of hands (e.g., as described below, “Two in a Row”), a house edge may be deducted once for each implicated hand (e.g., “Two in a Row” pays EV minus twice the house edge plus/minus adjustments for player status). In one embodiment, all re-characterized bets are paid out at fixed adjusted odds, regardless of what cards are showing at the table. In another embodiment, the adjusted odds may vary depending on the nature of the re-characterization wager and/or the cards on display at the time the re-characterization wager is made (i.e., the cards shown are used to help calculate the expected value of the various re-characterization wagers). Because it may be difficult for the dealer and players to remember a large number of dynamic adjusted odds, the dealer and/or player may use a look up table or the like that shows what the odds are for a particular deal. For the simple embodiment shown in FIGS. 1-7, the look up table may be available for players and dealers to review in the form of a book. Each page of the book could have a different re-characterization wager on it with a table that shows all the possible hands and the odds. Additionally, a column or entry may be provided that describes the payout per $100 (or other desired denomination) wager. An example of such a page from such a book is presented in FIG. 9. When the intermediate outcome is revealed, the player and/or the dealer may refer to the look up table and determine the odds and the potential payout for the wager stack 46 that the player currently controls for a given deal. Based on the published odds, the player may decide whether or not to re-characterize the bet. Again, it is worth noting that the odds for the re-characterized wager may be more favorable for the gaming establishment than the normal house edge for the game. The adjusted payouts may further take into consideration rules for rounding payouts to even amounts so that fractional amounts do not have to be tracked. A rules based system of a computing device may dynamically determine (i) a direction for rounding (up or down) and/or (ii) a denomination to round to based on numerous factors, such as (a) recent rounding decisions, such that an overall advantage is maintained across numerous instances of rounding (e.g., some round up, some round down, resulting in an average); (b) the player's bet amount, and the like. A recent history of payouts considered for rounding may be associated with a casino, table, player or other element. However, this sort of historical tracking may be difficult to implement on the table 10 and is more suited to the smart table 150 described in greater detail below. In summary, re-characterizing a bet may change a condition for payout such as by making a condition for payout more restrictive (in which case, the payouts may be increased), less restrictive (in which case, the payouts may be decreased), or have comparable likelihood of occurring. Additionally, the player may choose to add winning events to the wager in play such that the player may receive two payouts. This embodiment is particularly useful for split type re-characterizations. Examples include initially wagering on banker and then re-characterizing through a split the wager as a “Banker 9” wager. Thus, the player may win a first amount if the banker wins and a second amount if the banker wins with a 9. Re-characterization may increase or decrease the likelihood that a player wins. As noted, the payouts may increase or decrease accordingly to preserve a desired house edge. Referring now to FIG. 3, illustrated therein is an electronic table 350 that is operable to facilitate many of the functions described herein (e.g., tracking wagering activity and game outcomes, calculating payouts due to players and losses incurred by players to aid dealers in providing accurate payouts and collecting accurate losses, calculating dynamic odds, dynamically determining information about possible re-characterization bets, etc.). Table 350 has a planar top surface 352 on which game play takes place. The table 350 further has a dealer station 354 and at least one (e.g., seven) player station 356 (player stations are also referred to herein as player positions). The dealer station 354 has space for the various dealers to stand or sit and may include a dealer monitor 358, a chip rack 360, a banker hand area 362, a shoe 364, a commission recordation area 366, and a tie wager area 368. Additionally, monitors 370 may be positioned proximate the dealer station 354 in such a manner that all the players may perceive the monitors 370. While two are shown, it should be appreciated that more or fewer may be used as desired. The present disclosure also sometimes refers to the monitors 370 as a tote board. The dealer monitor 358 and the monitors 370 may be displays as that term is defined in the Rules of Interpretation set forth below. It is particularly contemplated that the dealer monitor 358 has touch screen functionality. Alternatively a keyboard or other input mechanism may be provided (not shown). The dealer monitor 358 may be used to inform the dealer which players are owed how much as a payout on re-characterized bets and/or normal bets. Additionally, the dealer monitor 358 may inform the dealer when to hit the player hand or banker hand, what the point totals are, how much is owed by players for commissions, how many comp points are due a particular player, how much a player has won or lost, whether a player is trying to make a re-characterization bet that is valid or invalid, or other information as desired. The monitor(s) 370 may be used to provide information about historical outcomes so that players may review the historical outcomes. Additionally, the monitor(s) 370 may list what bet re-characterizations are available and the adjusted odds or payouts associated with any such re-characterization. If a particular bet re-characterization is not currently available, it may be grayed out, listed as “N/A” or otherwise denoted in such a manner that players may understand that the particular bet re-characterization is not available. Again, monitor(s) 370 may be implemented in a variety of manners, not restricted to the number of monitors 370 appearing at a table or the type of monitor being used. Monitors 370 may take the form of a physical sign, perhaps with physically adjustable components to indicate changes to payouts or odds (e.g., an attendant can “call up” or swap in a new set of odds or payouts for a given re-characterization type and/or game circumstance by making a few simple changes). Chips 372 and/or tokens 374 (for embodiments in which tokens are utilized) may be positioned in the chip rack 360 and used throughout the table 350. An embodiment of an RFID-enabled chip (e.g., such as one of the chips 372 of FIG. 3) is illustrated in FIG. 5. Turning briefly to FIG. 5, an example chip 572 consistent with some embodiments includes a radio frequency identification (RFID) tag or memory 576 with an electronic circuit or processor 578 and an antenna 580. The chip 572 may be similar or identical to those disclosed in U.S. Pat. Nos. 5,166,502; 5,676,376; 6,021,949; and 6,296,190, and U.S. Patent Application Publication Nos. 2004/0207156 and 2004/0219982 which are all incorporated by reference in their entireties. Gaming Partners International (GPI), of 1182 Industrial Road, Las Vegas, Nev. 89102 and Abbiati Casino Systems of Strada della Risera, 9-10090 Rosta (Torino-Italia) both sell RFID chips suitable for use with the table 150, although neither product is specifically required to practice the concepts of the present disclosure. The GPI chip uses a standard microchip made by Philips Semiconductors called the Vegas S, each of which has a unique serial number. The gaming establishment (e.g., casino) or other entity may associate values with each serial number. The association may be in a look-up table or the like. Alternatively, the unique identifier may be encoded to include information therein. Likewise, the chip 572 may be color-coded or include other indicia that indicates a value or other information to the player or dealer. In some embodiments, plaques may be used instead of chips (e.g., for exceedingly large denominations). In use, the electronic circuit 578 and antenna 580 act as a transponder capable of responding to an interrogator of the table (not shown in FIG. 5). The interrogator may be a sensor or other component operable to detect, recognize, determine, identify or sense the presence (or absence) of an RFID-enabled chip. The interrogator may also be operable to detect, determine, identify, recognize or receive various information about a chip (e.g., chip identifier, chip set identifier, chip denomination, chip status, etc.). The interrogator may also be operable to transmit information to one or more processors or memories (e.g., information regarding the presence or absence of a chip in a certain location, an identifier of a chip, etc.). In some embodiments, an interrogator may operate in accordance with passive RFID technology and/or comprise an antenna. In accordance with some embodiments, the interrogator sends out an electromagnetic signal that impinges upon the antenna 580, exciting a current within electronic circuit 578. In response to the excited current, the electronic circuit 578 causes the antenna 580 to emit a second electromagnetic signal as a response, which is received by the interrogator. The second signal has identifying information about the chip 572 encoded therein such that the interrogator can identify the chip on receipt of the second signal. The second signal may be generated passively or actively. That is, in a first embodiment, the energy from the interrogation signal provides sufficient power for the electronic circuit 578 to use to send the second signal. In a second embodiment, the electronic circuit 578 may include a battery or other power source, which is used to power the generation of the second signal. While batteries have increasingly small footprints and longer lives, it is generally more practical to have a passive transponder. It should be noted that, as described in previously-filed Application '222, in some embodiments tokens used to indicate placement of a re-characterization bet may be RFID tokens, each having its own unique identifier. The embodiments of how RFID-enabled tokens may be used for bet re-characterization are incorporated by particular reference herein. It should be understood that use of RFID-enabled tokens to indicate bet re-characterization may not be necessary or preferred in some embodiments. For example, in some embodiments an electronic table such as the table 350 may be useful in facilitating wagering without the use of re-characterization bets. In another embodiment, the table 350 may be useful in embodiments in which the placement and identification of re-characterization bets is performed without the use of tokens but is instead performed by tracking chip movement on the table, as will be described below. Returning now to FIG. 3, in some embodiments, a camera 382 may be positioned over the table 350 and operatively connected to a central processing unit (CPU) or processor 384 associated with the table 350. The CPU 384 may be a control system as that term is defined in the Rules of Interpretation provided below and may control and coordinate the functions of the various components of the table 350. The chip rack 360 may include an RFID interrogator. An exemplary chip rack of this sort is made by GPI under the trade name CHIP BANK READER. Alternatively, the interrogators described in U.S. Pat. Nos. 4,814,589; 5,283,422; 5,367,148; 5,651,548; and 5,735,742—all of which are incorporated herein by reference in their entireties—could be used. Another RFID tag and interrogator suitable for use with at least some embodiments of the present disclosure are produced by Texas Instruments as the TAG-IT™ product line. An improved interrogator is discussed in U.S. Patent Application Publication 2006/0077036, which is also incorporated by reference in its entirety. The shoe 364 may be an intelligent shoe such as the IS-T1™ and IS-B1™ or the MD1, MD2 sold by Shuffle Master or comparable devices. The shoe 364 may be able to determine which cards are being dealt to which player station through RFID technology, image recognition, a printed code on the card (such as a barcode), or the like. The particular technique used to recognize cards is not central to the present disclosure. Further information about intelligent shoes may be found in U.S. Pat. Nos. 5,941,769 and 7,029,009, both of which are incorporated by reference in their entireties and U.S. Patent Application Publications 2005/0026681; 2001/7862227; 2005/0051955; 2005/0113166; 2005/0219200; 2004/0207156; and 2005/0062226 all of which are incorporated by reference in their entireties. In place of an intelligent shoe, cameras, such as camera 382 may be used with pattern recognition software to detect what cards have been dealt to what player stations, what chips 372 have been wagered, and what tokens have been used by particular player stations. One method for reading data from playing cards at table games is taught by German Patent Application No. P44 39 502.7. Other methods are taught by U.S. Patent Application Publication 2007/0052167 both of which are incorporated by reference in their entirety. Similarly, cameras 382 may be used to detect when a token was given or removed from a specific player. This information may be helpful should the gaming establishment need to audit a session. In some embodiments, an intelligent shoe may indicate to a dealer whether or not a card may be taken from it. For example, if cards that have previously been dealt have not yet been overturned, or there is a problem with a player's bet, a red LED associated with the shoe may illuminate. When a dealer is allowed to take another card, a green LED may illuminate. The shoe may even physically prevent the dealer from taking a card if the system determines this is appropriate. The player station 356 may include a player bet area 386, a banker bet area 388, a player tracking mechanism 390, a player monitor 392, and a chip reserve area 394. As before, the player bet area 386 and the banker bet area 388 are delimited by indicia onto which the player may place a wager stack 46. However, the player bet area 386 may include one or more interrogators 396 which detect, recognize, identify or determine chips 372 and/or tokens (e.g., in embodiments in which tokens are utilized to identify re-characterization bets) placed in the player bet area 386. Likewise, the banker bet area 388 may include one or more interrogators 398 which detect chips 372 and/or tokens (e.g., in embodiments in which tokens are utilized to identify re-characterization bets) placed in the banker bet area 388. In some embodiments, a common area may be included on table 350, for common placement of chips or wagers that are associated with two or more distinct players. In such embodiments, such a common area may be associated with one or more distinct interrogators (not shown). In some embodiments, a single player station 356 may include interrogators associated with two or more players. For example, one interrogator may be intended for a first player playing the game at the table and another interrogator for a second player (e.g., a “back bettor”) who may be betting along with or in association with the first player, either remotely or from essentially the same location, but whose chips and betting activity is to be separately tracked. The player tracking mechanism 390 may be a card reader adapted to receive a magnetic stripe card such as is commonly used in gaming establishments. Alternatively, the player tracking mechanism 390 may be a smart card reader, an RFID interrogator that interrogates a player tracking RFID fob, TITO device (for reading player data encoded on a ticket), or other device as desired. The player monitor 392 may be a display as that term is defined in the Rules of Interpretation set forth below. The player monitor 392 may be a touch screen display and/or have associated input elements such as a keypad or keyboard. Collectively, the player monitor 392 and any associated input elements are termed a player interface. Information about the player, about the available bet re-characterizations, a history of outcomes, any adjusted odds or payouts for a particular available bet re-characterization, or other information may be presented on the player monitor 392 as described herein. In a first embodiment, each player station 356 has its own monitor 392. While not shown, the player station 356 may also include a bill acceptor and/or a cashless gaming receipt device such as the TITO bill validating device such as a FutureLogic GEN2™ PSA-66 device configured to operate within an EZ-PAY™ system by IGT. Another variation is to use a mobile terminal such as a personal digital assistant, palm-style computer, cellular phone, hand held or laptop computer as a display. In some embodiments, table 350 does not include a player monitor 392 at any of the player stations (e.g., to preserve a more traditional look of the table). In some embodiments (including some embodiments in which table 350 does not include a player monitor 392 and/or re-characterization bets are not utilized and/or not indicated via use of tokens), a player may indicate desired wagers (traditional wagers and/or re-characterization wagers) by movement and/or placement of chips on the table (either by the player or by the dealer on behalf of the player). Such movement and/or placement may be tracked by one or more interrogators of the table and recorded (e.g., in a memory of the table). Further, in some embodiments the movement and/or placement of chips may be interpreted, by a processor of table 350, as the placement of a particular bet (a traditional bet and/or a re-characterization bet, including the value thereof) and an indication thereof may be displayed on a dealer monitor 358 (e.g., it may be determined that player A placed $100 bet on the player side and this may be indicated on the dealer monitor 358). Turning now to FIG. 4, illustrated therein is one embodiment of how a plurality of interrogators or antennas may be placed on a table 450 (which may be one embodiment of table 350), in a manner that facilitates some of the embodiments described herein. The table 450 includes seven (7) distinct player positions 454. Each player position includes two antennas or interrogators, interrogator 464a and 464b, one for each bet spot or bet position available at each respective player position 454. Thus, if a player were to place a wager (e.g., one or more RFID-enabled chips) on a bet spot associated with interrogator 464a, interrogator 464a would recognize such placement (i.e., interrogator 464a would “acquire” the chip(s) comprising the wager). The table 450 further comprises a dealer area or position 452, which includes an interrogator or antenna 462. Finally, the table 450 includes several shared or common bet positions or bet spots, each associated with a distinct interrogator or antenna. For example, interrogator 472a may be for a Player Pair bet spot, interrogator 472b may be for a Banker Pair bet spot, and interrogators 474a and 474b may each be for a Tie bet spot. Given the variety and number prop bets contemplated by the present disclosure in conjunction with the physical limitations of size and space a gaming table may be allowed to occupy, Applicants have recognized that in some cases, it may be beneficial to provide for common or “shared” betting areas. That is, rather than associating or providing a plurality of physical betting areas for each individual player seated at the gaming table, it may be beneficial to instead offer one or more common betting areas (each associated with a given wager type), accessible to all players. In some embodiments, player wagers placed upon such shared betting areas of the gaming table may be identified and/or associated with respective player(s) having placed such wagers via one or more RFID sensors incorporated into the layout of the table itself. In one embodiment, a player desiring to place such a wager may indicate his interest in doing so (e.g. audibly, via a hand signal) to the dealer. Thereafter, the dealer may place physical chips representing the player's wager on a first dedicated area of the gaming table associated with the player, the first dedicated area being associated with an RFID sensor. The RFID sensor then transmits an indication of the wager amount and associated player (or player position) to the table computer, which then stores data associated with the wager. Thereafter, the dealer (and/or player) may move the chips representing the player's wager to a second “shared” area of the gaming table, which may be associated with a second RFID sensor. Upon resolution of a game instance associated with the wager (e.g. upon completion of a hand of baccarat), an outcome associated with the wager is determined (e.g. win/loss) along with any corresponding payout that may be entitled to the player. If the player is entitled to a payout, the dealer may then place chips representing such payout on the second dedicated area of the table. The payout is recorded by the table computer via the second RFID sensor. The original wager and payout may then be placed on the first dedicated are (associated with the first RFID sensor), serving to thereby record an indication of the payout having been provided to the associated player. Additional details on embodiments for associating wagers placed in common betting areas with a specific player position are described in more detail below. Each interrogator or antenna may have a predetermined range within which it recognizes, determines, identifies or acquires a chip. Thus, if one or more chips comprising a wager is placed within the acquire range of interrogator 474a, it may be inferred or determined that a player (e.g., the player who is associated with the acquired chip(s)) is placing a Tie bet wager. It should be noted that the number and placement of interrogators or antennas illustrated in FIG. 4 is exemplary only and should not be construed in a limiting manner. For example, more than two antennas may be associated with a given player position. In some embodiments, a first antenna associated with a given player position is associated with a first player (e.g., the primary player playing at that position) while a second antenna associated with a given player position is associated with a second player (e.g., a remote player or back betting player). In some embodiments, each interrogator or antenna of a table may be uniquely identified, such that if data or information is received from a particular antenna, that data or communication may comprise a unique identifier of the antenna that allows for a determination of the bet spot and player position associated with that data or communication. It should be understood that, in alternate embodiments, a shared or common betting position may not be associated with its own antenna. In such embodiments, another way to associate a wager with a player position may be to use a token instead of a shared antenna. In such an approach, a player may be allowed to place a bet on his betting spot and the dealer may place token on it (i.e., on the chip or chips comprising the bet). The particular token used is recognized by the system, based on the data encoded in the chip, as representing a particular shared bet (e.g. tie, player pair or banker pair). The bet and the token may then be removed from the bet spot and placed on the shared or common bet position. The system of the smart table may be operable to recognize that the bet and token removed from the player's position are now an active bet associated with a particular player position because the token had been present on the player's position and thus associated with the player's antenna and then all the chips, including the token, were removed at once within a short span of time. To cancel the bet, the chips and token maybe replaced on the player's antenna and only the token may be removed. To pay out a winning bet, the wagered chips and token may be placed on one of the player's antennas and then the net payout may be added to the same antenna. Alternatively, the dealer may simply place the token and gross payout on one of the player's antennas in a single move. It should be noted that this alternate methodology which allows for associating a bet in a common bet area with a particular player position may be useful in other embodiments, to decrease the number of antennas needed on a table. An interrogator or antenna such as any of those illustrated with respect to FIG. 4 may determine, read, receive, obtain, recognize or determine various information or data from or about an RFID-enabled chip placed within a predetermined range of the interrogator. The following are examples or some of the information or data that may be so determined: (i) a unique chip identifier, which uniquely identifies the chip; (ii) a currency of the chip; (iii) a denomination of the chip (which may be its monetary value; in the case of a token it may comprise the token type); (iv) a chipset identifier, which differentiates types of chips (e.g., cash vs. non-negotiable, differentiating tokens from monetary chips, chip validity); (v) a casino identifier that uniquely identifies a casino or other registered gaming corporation associated with the chip (this information may also be used to determine chip validity); and (vi) a site identifier that uniquely identifies the physical casino site for which the chip is valid. It should be noted that not all of the above information is necessary or desirable for all embodiments. It should further be noted that any or all of the above-listed information may be stored in a memory of a given chip and transmitted to an interrogator via a signal from the chip. To illustrate a use of the interrogators shown in FIG. 4, a non-limiting example is provided in which a placement of a re-characterization bet is inferred based on information received or obtained from one or more interrogators. In the example, assume that a re-characterization bet has been offered to a particular player based on configured rules such as the presence of a standard baccarat bet (on either the Player or Banker antenna) and particular player and banker hand values and numbers of cards drawn. In this example, if chips are added to the opposite antenna from the player's existing bet, the system be programmed to infer that these chips are the wager for the offered re-characterization bet. Similarly, the addition of chips to an antenna that already contains another bet may be used to infer the placement of a re-characterization bet by a player. For example, the system may be operable to differentiate two or more bets on the same antenna in the following way: (i) determine that a re-characterization bet has been offered to a player; (ii) determine the placement of additional chips to a betting position associated with an antenna, which position already has chips placed thereon; (iii) infer that these new chips represent the offered re-characterization be; (iv) register the placement of the re-characterization bet based on some rule, such as a card is drawn; and (v) repeat the above process for additional bets on the same antenna (i.e., for additional bets or chips placed on the betting position associated with the same antenna). In another embodiment, the system described herein may be operable to determine or infer placement of a re-characterization bet by a particular player or register a re-characterization bet to a particular player position based on a positional history of the chips being used to place the re-characterization bet. Such an embodiment is described in more detail below with respect to FIG. 7. The various electronic components of a smart table consistent with some embodiments described herein (e.g., a table such as table 350 of FIG. 3) may communicate with one another as better illustrated by the block diagram of FIG. 6. FIG. 6 illustrates a block diagram of a table 650, which includes one or more processors or CPUs 684. The CPU 684 may act as the main processor or “brains” of the table 650. The CPU 684 may be part of the table 650 or may be remotely positioned therefrom. It is possible that the CPU 684 may be a central server that controls multiple tables concurrently if desired. The CPU 684 may be communicatively coupled to the various components through a network (not labeled) as that term is defined in the Rules of Interpretation set forth below, a bus, or other communication system as desired. The CPU 684 may control all the various components and perform all the calculations according to software stored in a computer readable format in a memory unit (not shown). For example, the CPU 684 may receive data from the shoe 664 and or the interrogator 660A associated with the chip rack 660. Likewise, the CPU 684 may control the player tracking mechanisms 690, the monitors 692 and any sensors that track bets such as player bet interrogator 696 or banker bet interrogator 698. Alternatively, functions specific to individual player stations 656 such as control of the monitor 692, interpretation of data from the interrogators 696, 698 and the like may be controlled by player station processors 600. As yet another alternative (not illustrated), a single player station processor 600 may control all the player stations and a second CPU 684 control the table such that the single player station processor 600 is a client for the CPU 684. Table 650 further includes a memory 690 that is accessible by and/or operable to communicate with CPU 684. The memory may be stored in the same location as CPU 684 or in a different or remote location. The memory 690 may store a program 690A for directing the CPU 684 and one or more database, such as a chip status database 690B. The chip status database 690B may store, for example, a chip position history for chips in play on a given table (or a plurality of tables). In some embodiments, the chip status database 690 may also store an indication of a validity of a chip, whether the chip is negotiable, etc. An automated table such as illustrated in FIG. 3, FIG. 4 and/or FIG. 6 may render the dealer's tasks and record keeping associated with the play session greatly eased and facilitated. For example, as described herein and according to some embodiments, RFID sensors such as antennas or interrogators may be deployed in a gaming table for the purposes of (i) determining a wager amount associated with a player position; (ii) determine a wager type associated with the wager amount and/or player position; and (iii) transmit an indication of the wager amount and wager type to a table computer for output at a dealer output device. In accordance with some embodiments, the table computer may operate to receive (or otherwise determine) a game result and, based on: the wager type; wager amount; and game result, output a payout instruction via the dealer output device. According to some embodiments, chips placed on the gaming table may be periodically (e.g. once every 0.5 seconds) interrogated by the RFID sensors in order to determine an initial wager amount and to determine any fluctuation in the initial wager amount during a period of time during which such fluctuations are not otherwise permitted (e.g. during the course of a hand of baccarat). If such a fluctuation is determined (e.g. a player surreptitiously adds to his wager after cards have been dealt), the RFID sensors may detect this and output a corresponding message via the dealer output device. Alternatively, or in addition a reporting signal may be transmitted to one or more centralized casino server systems to form a basis for casino personnel action. In some embodiments, the RFID sensors may be employed in order to ensure that the dealer is indeed (a) awarding payouts to players (associated with a given player position and/or RFID sensor) that are accurate; (b) taking down or collecting any losing bets in their entirety; and/or (c) awarding payouts and/or collecting losing wagers in accordance with a desired and orderly process, protocol or order. For example, a casino may institute a preferred protocol for awarding payouts and/or collecting wagers, such that the dealer is instructed (e.g. via the dealer output device) to e.g. first collect any non-winning wager(s) prior to awarding payouts for winning wagers. In some embodiments, the RFID sensors may be employed in such a manner as to monitor the order and/or amount(s) of payout(s) in order to look for and derivations from the desired protocol. If such a derivation is detected (e.g. a dealer awards payouts for one or more prop bets prior to collecting one or more losing wagers), the sensor(s) may output an indication of the derivation to one or more of a dealer output device (e.g. “REMINDER: Collect losing wagers prior to awarding payouts for prop bets.”) and/or centralized casino server systems to form a basis for casino personnel action. Thus, in some embodiments, the integration of a card reading shoe and the capture of bet data via RFID sensors such as interrogators or antennas may allow for the detection of overpays, underpays and cheating as the system tracks and records game outcomes and how each hand was bet. Anomalies detected based on such data may be communicated (or otherwise indicated) to dealers (e.g. with displays embedded in the table) and/or to supervisors, surveillance and management through a computer or other device including e.g. desktop computers, laptops, tablets and smartphone. It should be noted that an electronic table such as described herein and in particular with reference to FIG. 3, FIG. 4 and/or FIG. 6 may be deployed and utilized without bet re-characterization features (i.e., a smart table as described herein may be used to facilitate a baccarat, blackjack, roulette or other table game with traditional wagering opportunities only, as well as with bet re-characterization opportunities as described herein). Turning now to FIG. 7, illustrated therein is one method for tracking and storing the position history of an RFID-enabled wagering chip, for use in various embodiments described herein. For example, software usable with a smart table as illustrated in FIG. 3, FIG. 4 and/or FIG. 6 may be operable to track the position of chips on the table by their unique identifiers, and store the history of each chip on the table (i.e. a list of positions and antennas where the chip has been acquired). It should be noted that, as illustrated in FIG. 4, a table consistent with some embodiments may contain antennas that are associated with a player, and others that are shared among all players. In such embodiments, it may be beneficial to determine what particular player position a chip is associated with when the chip is acquired on an antenna or interrogator associated with a common or shared betting area of the table. In one embodiment, the antenna history for that chip may be reviewed from the current antenna and going backward in time. The first antenna found that is associated with a player position will determine to which player position the chip should be associated. If no player-position associated antenna is found in the history, then an error message may be displayed to the dealer indicating that the chip should be removed from the shared antenna. Such shared antenna technology also allows for associating the wager to each player for accurate tracking of play. Thus, in some embodiments bet data may be captured using multiple RFID antennas on a table and decisions may be dynamically made by the system of the table based on chip movements on the table. For example, in some embodiments, every available bet is associated with one or more antennas. In some embodiments, the player position that a particular chip is associated with may be determined by where the chip was located prior to its current location (e.g. ties, pairs, re-characterization bets (whether made by use of tokens or otherwise, etc.)). Data useful in chip position determinations may include the unique identifier of the chip and the unique identifier of the interrogator or antenna that has acquired or detected the chip within its predetermined range. In some embodiments, an RFID sensor such as an interrogator or antenna 464a, 464b, 474a, 472a, 472b, or 474b (FIG. 4) may be operable to transmit two types of messages to a processor of a system operable to facilitate embodiments described herein (e.g., processor 684 of FIG. 6: (i) CHIP X ACQUIRED ON ANTENNA Y (where X is the chip's unique ID and Y is the antenna identifier); and (ii) CHIP X EXPIRED FROM ANTENNA Y. In some embodiments, when it is determined by a processor (e.g., processor 684 and/or processor 600 of FIG. 6) that a chip has been acquired at a particular interrogator or antenna (e.g., an acquire message is received, which includes the unique identifier of the chip), the processor may retrieve the history for the chip (“chip history”) from a memory (e.g., memory 690 of FIG. 6), based on the chip's unique identifier. If no history exists then a new one may be created and stored in a memory (e.g., memory 690), associating a current position of the chip (e.g., the unique identifier of the antenna that has acquired the chip) in association with the chip's unique identifier. In accordance with some embodiments, a chip history may include a list of “position histories”, each of which represents a position on the table that contains one or more antennas, and which is associated either with a single player position and/or single player or a plurality of player positions or players (i.e. a “shared position”). For example, on the 7 player position table illustrated in FIG. 4, the positions may be “PLAYER 1” through “PLAYER 7” (each of which may include a Player antenna and a Banker antenna), and a SHARED position (which contains a Player Pair, a Banker Pair and a Tie antenna). There may also be a DEALER position on the table that contains the dealer antenna, but in some embodiments this position is not part of the chip history. Each “Position History” of a given chip (e.g., each record of a chip position history database or chip status database, such as chip status database 690b) may comprise a list of Antenna History Items, each representing the acquisition (when the chip is first recognized or identified as being positioned within the range of the antenna) and expiration (when the chip is determined to no longer be positioned within the range of the antenna) of the chip on a particular antenna. Referring again to FIG. 7, illustrated therein is one embodiment of how a position history for a given chip “X” may be depicted or stored (it being understood that the information described with reference to FIG. 7 may be stored in different formats, based on preference or practicality). The chip history for chip X is illustrated along a position history timeline 702, which illustrates the oldest or earliest position at the left and continues towards the most recent position at the right. Line 710 visually represents the movement of the chip X over time over the noted positions of a table during a game. In the illustrated example, the chip X has been positioned or located at three different positions of a table: position A (block 704), position B (block 706) and position C (block 708). Each position may be associated with one or more antennas, as illustrated. It should be noted that the same position may occur multiple times in a given position history. The antenna history items (labeled “Antenna 1”, “Antenna 2” above) of FIG. 7, may each contain the following information in some embodiments: a name of the antenna, a time at which the chip was acquired on the antenna, and a time at which the chip left the antenna or was no longer detected or recognized as being within a predetermined range of the antenna (which is not defined if the chip is still on the antenna). It should be noted that, in accordance with some embodiments, it may be desirable for the system to allow a chip to be moved across player positions momentarily without having the chip be tagged to or associated with that position. This is because, in some embodiments, the locations of the various player positions on the table may make it difficult for the dealer or a player to avoid passing his hand (which may be holding one or more chips) over other player positions while moving a chip from its initial player position to another (e.g., shared) position. To prevent (or minimize the chances of) the chip from being tagged to or associated with a player position it crosses only momentarily, the Chip History may be configured with a “transient milliseconds” value, which is the minimum number of milliseconds a chip needs to remain on an antenna for it to be considered to have been acquired by the antenna. The use of this value will be further described below when discussing chip expiration. In accordance with some embodiments, the system described herein receives a message that a chip was acquired on an antenna, the system may create a new antenna item for the chip and sets its acquisition time to the current time. Then the most recent position history for the chip may be examined (rightmost position in the diagram of FIG. 7). If this position matches the antenna's position, the new antenna item is added to the end of this position history. Otherwise a new position history is added to the list and the antenna item is added to that. In accordance with some embodiments, after a chip is acquired on a particular antenna, the position associated with the antenna (the position on which the chip was acquired) will be the last position in the position list. The antenna history items in this position may be pared using the following procedure, which removes transient items based on the “transient milliseconds” value: if the antenna item has an expire time defined, and the total duration (expire time−acquire time)>the transient milliseconds value (e.g. the chip did not momentarily pass over the antenna), then add 1 to the antenna count. When an expiration message is received from an antenna or it is otherwise determined that a chip is no longer on a position associated with a particular antenna on which it was previously acquired, the position histories of the chip are examined starting from the most recent position until a position is found matching the position from which the chip was expired. Then the antenna items in that position are examined from the most recent to the oldest (bottom to top in the diagram of FIG. 7) until the antenna item is found that matches the antenna where the chip was just expired. In accordance with some embodiments, the following procedure may be performed on the antenna item, which eliminates antenna items that represent transient chip acquisitions (e.g. the chip passed over the antenna only momentarily): (i) the chip expire time is set to the current time; (ii) the total duration the chip was on the antenna is calculated (expire time−acquire time); and (iii) if the duration BACKGROUND As data networks scale to meet ever-increasing bandwidth requirements, the shortcomings of copper data channels are becoming apparent. Signal attenuation and crosstalk due to radiated electromagnetic energy are the main impediments encountered by designers of such systems. They can be mitigated to some extent with equalization, coding, and shielding, but these techniques require considerable power, complexity, and cable bulk penalties while offering only modest improvements in reach and very limited scalability. Free of such channel limitations, optical communication has been recognized as the successor to copper links. Further limitations and disadvantages of conventional and traditional approaches will become apparent to one of skill in the art, through comparison of such systems with the present disclosure as set forth in the remainder of the present application with reference to the drawings."," BRIEF SUMMARY A system and/or method for process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop, substantially as shown in and/or described in connection with at least one of the figures, as set forth more completely in the claims. Various advantages, aspects and novel features of the present disclosure, as well as details of an illustrated embodiment thereof, will be more fully understood from the following description and drawings.","CROSS-REFERENCE TO RELATED APPLICATIONS/INCORPORATION BY REFERENCE This application claims priority to and the benefit of U.S. Provisional Application 62/125,292 filed on Jan. 16, 2015, which is hereby incorporated herein by reference in its entirety. FIELD Certain embodiments of the disclosure relate to semiconductor electronics. More specifically, certain embodiments of the disclosure relate to a method and system for process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop. BACKGROUND As data networks scale to meet ever-increasing bandwidth requirements, the shortcomings of copper data channels are becoming apparent. Signal attenuation and crosstalk due to radiated electromagnetic energy are the main impediments encountered by designers of such systems. They can be mitigated to some extent with equalization, coding, and shielding, but these techniques require considerable power, complexity, and cable bulk penalties while offering only modest improvements in reach and very limited scalability. Free of such channel limitations, optical communication has been recognized as the successor to copper links. Further limitations and disadvantages of conventional and traditional approaches will become apparent to one of skill in the art, through comparison of such systems with the present disclosure as set forth in the remainder of the present application with reference to the drawings. BRIEF SUMMARY A system and/or method for process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop, substantially as shown in and/or described in connection with at least one of the figures, as set forth more completely in the claims. Various advantages, aspects and novel features of the present disclosure, as well as details of an illustrated embodiment thereof, will be more fully understood from the following description and drawings. BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS FIG. 1A is a block diagram of a photonically-enabled integrated circuit with process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop, in accordance with an example embodiment of the disclosure. FIG. 1B is a diagram illustrating an exemplary photonically-enabled integrated circuit, in accordance with an example embodiment of the disclosure. FIG. 1C is a diagram illustrating a photonically-enabled integrated circuit coupled to an optical fiber cable, in accordance with an example embodiment of the disclosure. FIG. 2 is a block diagram of a photodiode sensed using a transimpedance amplifier with feedback impedance, in accordance with an example embodiment of the disclosure. FIG. 3 illustrates a transimpedance amplifier with process and temperature compensation using a dual replica and servo loop, in accordance with an example embodiment of the disclosure. FIG. 4 is a flow chart illustrating example steps in providing process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop, in accordance with an example embodiment of the disclosure. DETAILED DESCRIPTION Certain aspects of the disclosure may be found in a method and system for process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop. Exemplary aspects of the disclosure may comprise a method performed by a transimpedance amplifier (TIA) circuit comprising a first TIA, a second TIA, a third TIA, and a control loop. The first TIA comprises a fixed feedback resistance and the second and third TIAs each comprise a configurable feedback impedance. The control loop comprises a gain stage with inputs coupled to outputs of the first and second TIAs and with an output coupled to the configurable feedback impedance of the second and third TIAs. The method steps may comprise, for example, configuring a gain level of the first TIA based on the fixed feedback resistance and a reference current applied at an input to the first TIA, configuring a gain level of the second and third TIAs based on a control voltage generated by the gain stage, and amplifying a received electrical current to generate an output voltage using the third TIA. The reference current may generate a reference voltage at one of the inputs of the gain stage. The reference voltage may control the output of the gain stage that is coupled to the configurable feedback impedances of the second and third TIAs. Gain level changes with temperature of the second and third TIAs may be compensated for, utilizing the configurable feedback impedances of the second and third TIAs, respectively. The configurable impedances of the second and third TIAs each may comprise a fixed resistor and a voltage-controlled resistance. The configurable impedances of the second and third TIAs may be configured by configuring a gate voltage of a transistor of the voltage-controlled resistance utilizing the output of the gain stage. The output voltage may be coupled to a first input of a second gain stage wherein a second input of the second gain stage is coupled to an output of a fourth TIA. A differential signal may be generated utilizing the output voltage and an output of the fourth TIA. The output of the second gain stage may be configured by configuring an input current of the third TIA. The electrical signal may be received from a photodetector. FIG. 1A is a block diagram of a photonically-enabled integrated circuit with process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop, in accordance with an example embodiment of the disclosure. Referring to FIG. 1A, there are shown optoelectronic devices on a photonically-enabled integrated circuit 130 comprising optical modulators 105A-105D, photodiodes 111A-111D, monitor photodiodes 113A-113D, and optical devices comprising couplers 103A-103C and grating couplers 117A-117H. There are also shown electrical devices and circuits comprising amplifiers 107A-107D, analog and digital control circuits 109, and control sections 112A-112D. The amplifiers 107A-107D may comprise transimpedance and limiting amplifiers (TIA/LAs), for example. In an example scenario, the photonically-enabled integrated circuit 130 comprises a CMOS photonics die with a laser assembly 101 coupled to the top surface of the IC 130. The laser assembly 101 may comprise one or more semiconductor lasers with isolators, lenses, and/or rotators for directing one or more continuous-wave (CW) optical signals to the coupler 103A. A CW optical signal may comprise an unmodulated optical signal comprising a coherent frequency component at a wavelength λ1, for example. The photonically enabled integrated circuit 130 may comprise a single chip, or may be integrated on a plurality of die, such as with one or more electronics die and one or more photonics die. Optical signals are communicated between optical and optoelectronic devices via optical waveguides 110 fabricated in the photonically-enabled integrated circuit 130. Single-mode or multi-mode waveguides may be used in photonic integrated circuits. Single-mode operation enables direct connection to optical signal processing and networking elements. The term “single-mode” may be used for waveguides that support a single mode for each of the two polarizations, transverse-electric (TE) and transverse-magnetic (TM), or for waveguides that are truly single mode and only support one mode. Such one mode may have, for example, a polarization that is TE, which comprises an electric field parallel to the substrate supporting the waveguides. Two typical waveguide cross-sections that are utilized comprise strip waveguides and rib waveguides. Strip waveguides typically comprise a rectangular cross-section, whereas rib waveguides comprise a rib section on top of a waveguide slab. Of course, other waveguide cross section types are also contemplated and within the scope of the disclosure. In an example scenario, the couplers 103A-103C may comprise low-loss Y-junction power splitters where coupler 103A receives an optical signal from the laser assembly 101 and splits the signal to two branches that direct the optical signals to the couplers 103B and 103C, which split the optical signal once more, resulting in four roughly equal power optical signals. The optical power splitter may comprise at least one input waveguide and at least two output waveguides. The couplers 103A-103C shown in FIG. 1A illustrate 1-by-2 splitters, which divide the optical power in one waveguide into two other waveguides evenly. These Y-junction splitters may be used in multiple locations in an optoelectronic system, such as in a Mach-Zehnder interferometer (MZI) modulator, e.g., the optical modulators 105A-105D, where a splitter and a combiner are needed, since a power combiner can be a splitter used in reverse. The optical modulators 105A-105D comprise Mach-Zehnder or ring modulators, for example, and enable the modulation of the continuous-wave (CW) laser input signal. The optical modulators 105A-105D may comprise high-speed and low-speed phase modulation sections and are controlled by the control sections 112A-112D. The high-speed phase modulation section of the optical modulators 105A-105D may modulate a CW light source signal with a data signal. The low-speed phase modulation section of the optical modulators 105A-105D may compensate for slowly varying phase factors such as those induced by mismatch between the waveguides, waveguide temperature, or waveguide stress and is referred to as the passive phase, or the passive biasing of the MZI. In an example scenario, the high-speed optical phase modulators may operate based on the free carrier dispersion effect and may demonstrate a high overlap between the free carrier modulation region and the optical mode. High-speed phase modulation of an optical mode propagating in a waveguide is the building block of several types of signal encoding used for high data rate optical communications. Speed in the several Gb/s may be required to sustain the high data rates used in modern optical links and can be achieved in integrated Si photonics by modulating the depletion region of a PN junction placed across the waveguide carrying the optical beam. One output of each of the optical modulators 105A-105D may be optically coupled via the waveguides 110 to the grating couplers 117E-117H. The other outputs of the optical modulators 105A-105D may be optically coupled to monitor photodiodes 113A-113D to provide a feedback path. The IC 130 may utilize waveguide based optical modulation and receiving functions. Accordingly, the receiver may employ an integrated waveguide photo-detector (PD), which may be implemented with epitaxial germanium/SiGe films deposited directly on silicon, for example. The grating couplers 117A-117H may comprise optical gratings that enable coupling of light into and out of the photonically-enabled integrated circuit 130. The grating couplers 117A-117D may be utilized to couple light received from optical fibers into the photonically-enabled integrated circuit 130, and the grating couplers 117E-117H may be utilized to couple light from the photonically-enabled integrated circuit 130 into optical fibers. The grating couplers 117A-117H may comprise single polarization grating couplers (SPGC) and/or polarization splitting grating couplers (PSGC). In instances where a PSGC is utilized, two input, or output, waveguides may be utilized. The optical fibers may be epoxied, for example, to the CMOS chip, and may be aligned at an angle from normal to the surface of the photonically-enabled integrated circuit 130 to optimize coupling efficiency. In an example embodiment, the optical fibers may comprise single-mode fiber (SMF) and/or polarization-maintaining fiber (PMF). In another exemplary embodiment illustrated in FIG. 1B, optical signals may be communicated directly into the surface of the photonically-enabled integrated circuit 130 without optical fibers by directing a light source on an optical coupling device in the chip, such as the light source interface 135 and/or the optical fiber interface 139. This may be accomplished with directed laser sources and/or optical sources on another chip flip-chip bonded to the photonically-enabled integrated circuit 130. The photodiodes 111A-111D may convert optical signals received from the grating couplers 117A-117D into electrical signals that are communicated to the amplifiers 107A-107D for processing. In another embodiment of the disclosure, the photodiodes 111A-111D may comprise high-speed heterojunction phototransistors, for example, and may comprise germanium (Ge) in the collector and base regions for absorption in the 1.3-1.6 μm optical wavelength range, and may be integrated on a CMOS silicon-on-insulator (SOI) wafer and/or die. The analog and digital control circuits 109 may control gain levels or other parameters in the operation of the amplifiers 107A-107D, which may then communicate electrical signals off the photonically-enabled integrated circuit 130. The control sections 112A-112D comprise electronic circuitry that enables modulation of the CW laser signal received from the splitters 103A-103C. The optical modulators 105A-105D may require high-speed electrical signals to modulate the refractive index in respective branches of a Mach-Zehnder interferometer (MZI), for example. In operation, the photonically-enabled integrated circuit 130 may be operable to transmit and/or receive and process optical signals. Optical signals may be received from optical fibers by the grating couplers 117A-117D and converted to electrical signals by the photodetectors 111A-111D. The electrical signals may be amplified by transimpedance amplifiers in the amplifiers 107A-107D, for example, and subsequently communicated to other electronic circuitry, not shown, in the photonically-enabled integrated circuit 130. Transimpedance amplifiers (TIAs) may be operable to receive electrical current signals, amplify, and convert them to voltage signals. In optical transceivers, tight gain calibration limits may be imposed on the TIAs, which usually limit the receiver dynamic range (DR), and at data rates beyond 10 GB/s and with input photo current levels in a 30 dB range, linear TIA performance adequate for optoelectronics applications is challenging. The TIA dynamic range may be defined as the ratio of maximum to minimum photocurrent that can be properly sensed. The TIA linearity limits the receiver cascade dynamic range for large input currents. In scaled CMOS technologies, and under large level input currents, it may be difficult to prevent TIA output saturation due in part to the nonlinearity of the short channel transistors, but mainly to the reduced supply voltages. The low end of the dynamic rage is determined by the TIA input referred noise. A low-noise topology TIA is necessary in order to meet input sensitivity. A shunt-feedback (SF)-based TIA may provide such a low-noise topology. This architecture is based on a voltage-inverting amplifier with a feedback network. The feedback network impedance directly affects the dynamic range of the TIA and gain may be controlled using a DC control voltage generated in a servo loop using two TIA replicas. In an example scenario, the TIA topology disclosed herein may control the value of the feedback network impedance, thus enhancing the TIA linearity under large levels of input photocurrent. The gain control method is digital, non-intrusive, i.e. does not affect the signal path, and may be integrated with other process calibration and temperature control mechanisms. Furthermore, process and temperature variation may be compensated for, utilizing an accurately defined feedback impedance for a reference TIA and an active/passive combination for control and main TIAs in the circuit. FIG. 1B is a diagram illustrating an exemplary photonically-enabled integrated circuit, in accordance with an example embodiment of the disclosure. Referring to FIG. 1B, there is shown the photonically-enabled integrated circuit 130 comprising electronic devices/circuits 131, optical and optoelectronic devices 133, a light source interface 135, a chip front surface 137, an optical fiber interface 139, CMOS guard ring 141, and a surface-illuminated monitor photodiode 143. The light source interface 135 and the optical fiber interface 139 comprise grating couplers, for example, that enable coupling of light signals via the CMOS chip surface 137, as opposed to the edges of the chip as with conventional edge-emitting/receiving devices. Coupling light signals via the chip surface 137 enables the use of the CMOS guard ring 141 which protects the chip mechanically and prevents the entry of contaminants via the chip edge. The electronic devices/circuits 131 comprise circuitry such as the amplifiers 107A-107D and the analog and digital control circuits 109 described with respect to FIG. 1A, for example. The optical and optoelectronic devices 133 comprise devices such as the couplers 103A-103C, optical terminations, grating couplers 117A-117H, optical modulators 105A-105D, high-speed heterojunction photodiodes 111A-111D, and monitor photodiodes 113A-113D. In an example scenario, the electronic devices/circuits 131 may comprise TIAs with accurate gain control using dual replicas and a servo loop. Accordingly, a feedback impedance for the TIA may directly affect the dynamic range of the TIA and gain may be controlled using a DC control voltage generated in the servo loop using two TIA replicas. FIG. 1C is a diagram illustrating a photonically-enabled integrated circuit coupled to an optical fiber cable, in accordance with an example embodiment of the disclosure. Referring to FIG. 1C, there is shown the photonically-enabled integrated circuit 130 comprising the chip surface 137 and the CMOS guard ring 141. There are also shown a fiber-to-chip coupler 145, an optical fiber cable 149, and an optical source assembly 147. The photonically-enabled integrated circuit 130 comprises the electronic devices/circuits 131, the optical and optoelectronic devices 133, the light source interface 135, the chip surface 137, and the CMOS guard ring 141 may be as described with respect to FIG. 1B. In an example embodiment, the optical fiber cable may be affixed, via epoxy for example, to the CMOS chip surface 137. The fiber chip coupler 145 enables the physical coupling of the optical fiber cable 149 to the photonically-enabled integrated circuit 130. FIG. 2 is a block diagram of a photodiode sensed using a transimpedance amplifier with feedback impedance, in accordance with an example embodiment of the disclosure. Referring to FIG. 2, there is shown receiver front-end 200 comprising a photodetector 201, feedback impedance 203, and a gain stage 205. The photodetector may comprise a semiconductor photodiode, for example, comprising silicon or silicon-germanium for receiving optical signals and converting them to an electrical current. The photodetector 201 may be on the same chip, such as the chip 130, as the gain stage 205, or may be off-chip. The gain stage 205 may comprise circuitry for receiving an electrical current signal at its input and providing a voltage at its output that is proportional to the input current. In an example scenario, the gain stage 205 may comprise a voltage-in-voltage-out gain stage and may comprise a CMOS inverter, although the disclosure is not so limited, as any gain stage compatible with the source signal may be utilized. The feedback impedance 203 may comprise a feedback path for the gain stage 205, and may be implemented in a passive or active mode, with three examples shown by feedback impedances 203A-203C. Feedback impedance 203A comprises a passive impedance with a fixed resistor, while feedback impedances 203B and 203C represent active, or configurable, impedances, where impedance 203B comprises a resistor in series with a transistor. The transistor may comprise a PMOS, NMOS, or other type of transistor, with the control terminal of the transistor being utilized to configure the impedance of the series structure. In an example scenario, an NMOS/PMOS transistor may be utilized and the resistance controlled by varying the gate voltage when operating in its linear region, or triode mode. Similarly, the feedback impedance 203C comprises an array of selectable resistors, such as a trimmed resistor network. In this example, discrete resistors may be selected utilizing an array of switches coupled to the resistors in the network, as indicated by the input terminal. The variable resistance of the transistor may compensate for changes in the fixed resistor due to temperature change or across process variation. By adjusting the type of triode NMOS, for example, as well as the proportion between the NMOS and the fixed resistor components of the feedback impedance, a reduction in the temperature coefficient of the composite feedback impedance is enabled. The feedback impedance 203 and gain stage 205 may be utilized in TIA implementations, as show further with respect to FIG. 3. FIG. 3 illustrates a transimpedance amplifier with accurate gain adjustment using a dual replica and servo loop, in accordance with an example embodiment of the disclosure. Referring to FIG. 3, there is shown receiver 300 comprising a photodetector 301, TIAs 310A-310D, and amplifiers 311 and 313. There is also shown an optical input signal, a reference voltage Vref at the output of TIA 310A, a control current Ictrl for TIA 310C, a servo loop voltage Vg, and an output voltage Vout. In an example scenario, the TIAs 310A-310D are substantially similar to the TIA described with respect to FIG. 2, as indicated by the symbols within the boxes for each TIA, where the feedback impedance is configured depending on the function of the TIA. For example, TIA 310A may comprise a trimmed resistor network, such as feedback impedance 203C or a fixed trimmed resistor indicated by feedback impedance 203A, while TIAs 310B, 310C, and 310D each comprise an active feedback impedance, such as feedback impedance 203B. In this manner, the gain of TIA 310A, once selected, may remain essentially constant providing a stable and known gain, while that of TIAs 310B, 310C, and 310D may be configured dynamically using a control voltage, the servo loop voltage Vg. The TIAs 310B and 310D may be replicas of TIA 310C, with nominally identical components being located in close proximity on the chip, for example. The amplifiers 311 and 313 may comprise operational amplifiers or digital feedback, for example, with circuitry that generates an output signal proportional to the difference between its input voltages. For amplifier 311, therefore, the output voltage Vg, is proportional to the difference between the output of TIA 310A, Ref, and the output of TIA 310B. The TIA circuit comprising TIAs 310A-310D in the receiver 300 may comprise a CMOS shunt-feedback TIA, whose low-frequency gain (trans-impedance ZT) is correlated to the feedback network impedance. This is approximation is correct for a large enough gain for the gain stages in the TIAs 310A-310D, A>10, for example. For A>10, ZT is approximately equal to the feedback impedance. The main TIA, TIA 310C, and the two TIA replicas, TIAs 310B and 310D in this example, comprise a voltage-controlled feedback impedance, comprising a series combination of a voltage-controlled resistance and a fixed resistor, as shown by impedance 203C in FIG. 2, where the triode-mode n-MOS transistor creates the voltage control portion of the impedance and the poly resistor portion further linearizes the impedance, under overload input signals, as well as compensates the n-MOS temperature coefficient. The main TIA 310C and TIA replicas 310B and 310D are configured by the servo loop voltage Vg, which is coupled to the voltage control inputs of the feedback impedances of the TIAs, thereby providing an accurate representation of a trimmed target feedback impedance. This control voltage Vg imparts the same trimmed impedance value to the impedance networks in the TIA 310C and TIA replicas 310B and 310D, within process and layout matching limits. The impedance resistance trim servo loop employs a reference TIA 310A that generates an output voltage proportional to Iref x target impedance. In an example scenario, Iref and Iref1 are small fixed currents, generated by a current digital-to-analog converter (DAC), resulting in a known and accurate current. Iref1 and Iref may be injected into TIA 310A and TIA 310B reference inputs, respectively, as a means to increase the matching between the four TIAs, as well as a means to control the TIA 310C gain within a narrow range around the trimmed point. The main TIA 310C gain may be controlled by the control voltage Vg. This voltage in turn may be adjusted by changing the reference voltage (REF) in the servo-loop. REF is generated by the product of Iref and the transimpedance value of the TIA 310A with the trimmed resistance network. The servo-loop equalizes the two voltages seen by the amplifier 311, to the level of REF, generating the control voltage Vg. This voltage is proportional to the TIA 310B gain, and it imparts an equal value to the main TIA 310C gain, within matching limits. The feedback impedance for the reference TIA, TIA 310A, may comprise a trimmed resistor, the resistance value can be configured with a high degree of accuracy, post-fabrication, for each die from a wafer. This accurate reference, in combination with the replica TIA 310B with configurable active feedback impedance and control loop via gain stage 311, results in both accurate and precise gain levels for finished TIA circuits 300 from all die in a wafer, despite process variations. Furthermore, the configurable active resistance in series with the fixed resistance in the feedback impedances results in accurate and precise gain levels with temperature. Note that the above TIA gain trim approach described here does not affect the high-speed operation of the main TIA 310C in the signal path, since the gain control is applied as a DC voltage on the gate of the feedback n-MOS transistor. This control voltage Vg has a precise value correlated to the trimmed poly resistor, and a temperature coefficient that tracks the same resistor. Also, the control voltage Vg has a variable component, due to its dependence on Iref, that is used to control the TIA 310C gain around the trimmed value. In operation, an optical signal may be directed to the photodetector 301 via an optical waveguide for example, and a resulting electrical signal may be communicated to the TIA 310C. Reference currents Iref and Iref1 may be supplied to the inputs of the TIAs 310A and 310B, respectively. The feedback impedance of the TIA 310A, comprising a trim resistor network, may be preselected to configure the gain, while the control voltage Vg acts to equalize the gain of TIA 310B. This same control voltage controls the gain of the main TIA 310C, which receives the electrical signal from the photodetector 301, resulting in an amplified signal Vout proportional to the gain of the TIA 310C. The gain of the main TIA 310C may be adjusted by configuring Iref, such that the reference voltage Vref changes and Vg adjusts accordingly to equalize the inputs to the amplifier 311. This change in Vg thus changes the gain of TIA 310C. In addition, a “pseudo-differential” output may be generated by configuring the output of the amplifier 313 to follow the average of the output signal Vout. This may be accomplished by coupling the output signal Vout to one input of the amplifier 313 and coupling the output of the TIA 310D to the other input of the amplifier 310D. By configuring the current Ictrl for the TIA 310C to sink or source current at the input of the TIA, the DC value of the output voltage Vout may be adjusted, and with the input to the TIA 310D being open, the output of the amplifier 313 is therefore inverted from the change in DC value of the output voltage and provides a feedback control voltage, DCctrl. The differential output is therefore defined by the outputs of the TIAs 310C and 310D. FIG. 4 is a flow chart illustrating example steps in providing process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop, in accordance with an example embodiment of the disclosure. In step 403, after start step 401, the feedback impedance of TIA 310A may be configured by the fixed feedback impedance for the reference TIA. The reference feedback impedance may comprise a trimmed resistor enabling a post-fabrication, accurate resistance configuration compensating for any process variation across wafers. In step 405, reference currents Iref and Iref1 may be applied to reference and replica TIAs 310A and 310B. In step 407, an electrical signal may be received from the photodetector 301, thereby generating an electrical signal, which may be amplified by TIA 310C in step 409. In addition, a control current may be supplied to the main TIA 310C to provide a configurable DC output, thereby enabling a pseudo-differential output. In step 411, the amplified and pseudo-differential signal may be communicate at the output of the main TIA 310C. The control loop and configurable impedances may compensate for temperature changes during operation, followed by end step 611. In an example embodiment, a method and system are disclosed for process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop. In this regard, aspects of the disclosure may comprise a transimpedance amplifier (TIA) circuit comprising a first TIA, a second TIA, a third TIA, and a control loop. The first TIA comprises a fixed feedback resistance and the second and third TIAs each comprise a configurable feedback impedance. The control loop comprises a gain stage with inputs coupled to outputs of the first and second TIAs and with an output coupled to the configurable feedback impedance of the second and third TIAs. The TIA circuit may be operable to, for example, configure a gain level of the first TIA based on the fixed feedback resistance and a reference current applied at an input to the first TIA, configure a gain level of the second and third TIAs based on a control voltage generated by the gain stage, and amplify a received electrical current to generate an output voltage using the third TIA. The reference current may generate a reference voltage at one of the inputs of the gain stage. The reference voltage may control the output of the gain stage that is coupled to the configurable feedback impedances of the second and third TIAs. Gain level changes with temperature of the second and third TIAs may be compensated for, utilizing the configurable feedback impedances of the second and third TIAs, respectively. The configurable impedances of the second and third TIAs each may comprise a fixed resistor and a voltage-controlled resistance. The configurable impedances of the second and third TIAs may be configured by configuring a gate voltage of a transistor of the voltage-controlled resistance utilizing the output of the gain stage. The output voltage may be coupled to a first input of a second gain stage wherein a second input of the second gain stage is coupled to an output of a fourth TIA. A differential signal may be generated utilizing the output voltage and an output of the fourth TIA. The output of the second gain stage may be configured by configuring an input current of the third TIA. The electrical signal may be received from a photodetector. In another example embodiment, a system is disclosed for process and temperature compensation in a transimpedance amplifier using a dual replica and servo loop. In this regard, aspects of the disclosure may comprise a transimpedance amplifier (TIA) circuit comprising a first TIA comprising a fixed feedback resistor and an output coupled to a first input of a first gain stage; a second TIA comprising a first configurable impedance and an output coupled to a second input to the first gain stage; a third TIA comprising a second configurable feedback impedance, an input for receiving an input electrical current, and an output that generates an output voltage of the TIA circuit; and a control loop that stabilizes the gain of the third TIA with temperature change, where the control loop comprises the first gain stage with its output coupled to the first and second configurable impedances. As utilized herein the terms “circuits” and “circuitry” refer to physical electronic components (i.e. hardware) and any software and/or firmware (“code”) which may configure the hardware, be executed by the hardware, and or otherwise be associated with the hardware. As used herein, for example, a particular processor and memory may comprise a first “circuit” when executing a first one or more lines of code and may comprise a second “circuit” when executing a second one or more lines of code. As utilized herein, “and/or” means any one or more of the items in the list joined by “and/or”. As an example, “x and/or y” means any element of the three-element set {(x), (y), (x, y)}. In other words, “x and/or y” means “one or both of x and y”. As another example, “x, y, and/or z” means any element of the seven-element set {(x), (y), (z), (x, y), (x, z), (y, z), (x, y, z)}. In other words, “x, y and/or z” means “one or more of x, y and z”. As utilized herein, the term “exemplary” means serving as a non-limiting example, instance, or illustration. As utilized herein, the terms “e.g.,” and “for example” set off lists of one or more non-limiting examples, instances, or illustrations. As utilized herein, circuitry or a device is “operable” to perform a function whenever the circuitry or device comprises the necessary hardware and code (if any is necessary) to perform the function, regardless of whether performance of the function is disabled or not enabled (e.g., by a user-configurable setting, factory trim, etc.). While the disclosure has been described with reference to certain embodiments, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted without departing from the scope of the present disclosure. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the present disclosure without departing from its scope. Therefore, it is intended that the present disclosure not be limited to the particular embodiments disclosed, but that the present disclosure will include all embodiments falling within the scope of the appended claims.",H03F130,H03F130,20160118,,20160721,64519.0 22,15008944,PENDING,BALL GAME AND APPARATUS,"For a ball game of the football type, a structured goal having a rigid surface that has a hemispherical outline and includes multiple colored zones for graded scoring purposes is provided, together with a layout for a playing field or pitch that limits player access near the goal by team, and a set of rules. The rigid goal lets the ball bounce back. For scoring purposes the zones may be equipped with individual zone impact-indicating means such as bells, electrical transducers, nearby cameras, or be supported on hinged mounts in order to show an impact by movement. The layout, the rules and the goal structure are intended to provide a faster game with higher score numbers.","1. Apparatus including a goal structure and a set of rules for a ball game to be played on a playing field having a horizontal field surface, in which the players of each of two competing teams endeavour to collect a higher score than the other team by directing a ball at one of two goals while defending their own goal; wherein the goal structure presents a semicircular player surface toward the playing field; a diameter of the semicircle is placed upon the field surface and an upper edge of the goal is extended upward while physically supported at a selected angle or tilt relative to the field surface, the semicircular player surface comprises a plurality of colored and visually distinctive concentric zones symmetric within the scoring face; each zone having a designated value to be added to the team's score if hit by the ball after an acceptable sequence of play as determined by the set of rules has taken place; said set of rules allocating a higher score to a more central zone of the player surface. 2. Apparatus as claimed in claim 1, wherein the set of rules is optimised for a game in which a conventional football is kicked at the goal and the selected angle or tilt provides that the upper edge is further from the field than the lower surface. 3. Apparatus as claimed in claim 2, wherein the selected angle or tilt is an included angle of 70 degrees from the flat surface. 4. Apparatus as claimed in claim 2, wherein each goal presents a series of three concentric zones upon the semicircular player surface. 5. Apparatus as claimed in claim 4, wherein the or each goal is comprised of a rigid wooden playing surface supported in position by a support frame; the playing surface having an inertia in order to cause the ball to be reflected back toward the players after hitting the playing surface. 6. Apparatus as claimed in claim 5, wherein the set of rules defines a symmetrical playing field having a perimeter with, in plan view, an outline comprised of two semicircles separated by a rectangular middle portion; each of the goals being located beyond a periphery of each semicircle and separated from the playing field by a marked goal zone from which all players are excluded; each goal being surrounded by two part-circular playing field markings centered upon the goal; a closer part-circular marking defining a closest limit for a player from the attacking team and a farther part-circular marking defining a closest position from which a player can claim the higher score from a ball kicked at the goal. 7. Apparatus as claimed in claim 6, wherein at least one zone of the playing surface of the or each goal is provided with at least one identifiable ball-impact sensing means selected from a range including: acoustic means, electrical transducer means, optical sensing means including video cameras, and mechanical means permitting temporary physical deflection of the zone upon impact; the means thereby demonstrating which zone has received the ball impact. 8. Apparatus as claimed in claim 7, wherein at least one electrical transducer is connected by wire or wireless means to readout means selected from a range including lights within the player surface of the goal, a referee display and a scoreboard. 9. Apparatus as claimed in claim 8, wherein dedicated analysis means is connected in between said at least one electrical transducer and the readout means; said dedicated analysis means including digital computing means. 10. Apparatus as claimed in claim 8, wherein the readout means is configured to display the location of the impact upon a displayed image of the player surface of the or each goal. 11. Apparatus as claimed in claim 1 particularly adapted for use by children, wherein the or each goal structure is comprised of a series of semi-circular flexible membranes each delimiting a zone and capable when in use of being supported around at least the perimeter of the semicircle by adherent flexible and distendable pressurisable tubes each or collectively including valved connecting means capable of receiving an outlet from a source of air under pressure. 12. Apparatus as claimed in claim 1, wherein the set of rules and the goal is optimised for a game in which a conventional hockey ball is directed by control or impact by hockey sticks and the selected angle provides that the upper edge is closer to the field than the lower surface. 13. Apparatus as claimed in claim 9, wherein the readout means is configured to display the location of the impact upon a displayed image of the player surface of the or each goal."," BACKGROUND The “beautiful game” of football is a popular hobby and spectator sport. The inventor intends to overcome some disadvantages of the game of football as it is played or performed at present. The following are some perceived disadvantages. While the invention as described in this specification may never replace the present game, it may provide a rewarding alternative. Firstly, scoring in football is relatively infrequent, with common scores in national and international matches being low integers close to or equal to zero. For example, 1-0, 1-1, or a 0-0 scoreless draw and in some cases a “penalty shootout” is required, which tests different skills to those of team work. As a result of the low scores there is less opportunity to differentiate the performance of one team against others than if the scores could range up to perhaps 50 or even 100 from a game of equivalent length. Field hockey is another example of a low-scoring type of game. Secondly, football matches often involve extended periods of defensive play, reducing enjoyment for players and spectators. Thirdly, the conduct of football players at higher levels of the game can be unsportsmanlike, creating a negative atmosphere for players and fans. One example is the practice of “taking a dive” or “diving”, where players pretend to be injured or impeded by the other side to gain favourable rulings from a referee. This undermines the integrity of the game and rules, and emphasises acting rather than ball-control skills. “Diving” can unjustly sway the outcome of a game, for example where a penalty shot on goal is awarded. Fourthly, the physical design of basic goal structures being two poles embedded in the playing field and joined by a crossbar, supporting an optional net, is not inherently strong because it lacks cross-bracing. In summary, the rules and apparatus of football make it relatively hard to score in open running play, although relatively easy to score from penalty shots. This can encourage a negative approach to the game."," SUMMARY OF INVENTION In a first broad aspect the invention provides apparatus namely a goal structure, and provides a procedure comprising a marked playing field or pitch having a field surface and a related set of rules for a ball game in which the players of each of two competing teams endeavour to collect a higher score than the other team while defending their own goal; wherein the goal structure presents a semicircular player surface toward the playing field; a diameter of the semicircle is placed upon the field surface and an upper edge of the goal is extended upward while physically supported at a selected angle or tilt relative to the field surface, the semicircular player surface comprises a plurality of colored and visually distinctive concentric zones symmetric within the scoring face; each zone having a designated value to be added to the team's score if hit by the ball after an acceptable sequence of play as determined by the set of rules has taken place; said set of rules allocating a higher score to a more central zone of the player surface. Preferably each zone is allocated a designated value if hit by the ball from at least a designated range after an acceptable sequence of play, or a greater value if hit from a farther distance, as determined by the set of rules and markings on the playing field, thereby adding to the score of the team. Preferably the game does not allow the ball to be handled except at throwins. In a major aspect, the set of rules is optimised for a game in which a conventional spherical football (association football) is kicked at the goal and the selected tilt provides that the upper edge is further from the field than the lower surface. Preferably the selected tilt is an included angle of 70 degrees from the flat surface. Preferably the goal presents a series of three concentric zones upon the semicircular player surface. In one option a centre zone is colored red, a middle zone is white, and an outer zone is blue. In a related aspect, the or each goal is comprised of a rigid wooden playing surface supported in position by a support frame; the rigid playing surface having a mass in order to provide sufficient inertia to cause the ball to be reflected back toward the players after hitting the playing surface. Optionally the playing surface includes a force-absorbing property in order that the ball is reflected with loss of kinetic energy, and hence a reduced velocity. Optionally each of the concentric zones is mechanically at least partially isolated from adjacent zones in order that, when in use, scores are more clearly identified by zone. Further optionally, the or each goal may include means to provide an obvious physical deflection upon receiving an impact from a ball thereby providing a dampening effect. In a second major aspect, the set of rules defines a symmetrical field having a perimeter with, in plan view, an outline comprised of two semicircles separated by a rectangular middle portion; each of the goals being located beyond a periphery of each semicircle and separated from the playing field by a marked goal zone from which all players are excluded; each goal being surrounded by two part-circular playing field markings centered upon the goal; a closer part-circular marking defining a closest limit for a player from the attacking team and a farther part-circular marking defining a closest position from which a player can claim the higher score from a ball kicked at the goal. In a related aspect, at least one zone of the playing surface of the or each goal is provided with at least one identifiable ball-impact sensing means selected from a range including: acoustic means, electrical transducer means, optical sensing means including video cameras, and means permitting temporary physical deflection of the zone upon impact; the means thereby demonstrating which zone has received the ball impact. Preferred acoustic means are selected from a range including bells of various sizes, gongs, chimes, rattles, snare-drum chains, and other mechanisms which, when in use, create a distinctive noise on impact that may be related by players to a specific zone. Preferably the deflection means provides that each scoring zone may be hinged substantially at ground level, so that each scoring zone will tend to swing backwards when hit by a ball at speed, allowing visual identification of the scoring zone or zones which have been hit. Optionally, each hinged scoring zone has a gradual return mechanism which returns the scoring zone to its original position after a delay. In a subsidiary aspect, at least one electrical transducer mounted behind and in mechanical contact with at least one zone is connected by wires or wireless means to readout means selected from a range including lights within the player surface of the goal, a referee display and a remote scoreboard. Preferably electrically transduced score-indicating means are selected from a range including electronically triggered sounds, lights, audiovisual broadcast, computer-generated reconstructions including visual reconstructions of ball impacts with goal surfaces, and updating of visible scoreboards. In one version an electronic circuit including timers causes an array of embedded light-emitting diodes within any one zone of the player surface and visible from the playing area to flash for a short period after an impact has been detected. Optionally, dedicated analysis means is connected in between said at least one electrical transducer and the readout means; said dedicated analysis means including digital computing means capable when in use of determining which zone received an impact from a ball and including decision means to define a score if the impact was received across a boundary between zones. Preferably the readout means will display the location of the impact upon a reproduced image of the player surface of the goal upon the referee display and upon the remote scoreboard. In a second broad aspect the invention provides a goal structure adapted for use by children; wherein the or each goal is comprised of a series of semi-circular flexible membranes each delimiting a zone and semicircular membrane support means. Preferably the semicircular membrane support means comprises adherent sealed, flexible and distendable pressurisable tubes each including one-way valves capable of receiving an outlet from a source of air under pressure.","FIELD The invention relates to ball games and related equipment and rules, particularly to goals and fields for use by competing teams. DEFINITIONS “Football”: The popular team sport sometimes known as “soccer” or “Association Football”—typically using a spherical ball. (This invention may be used with rugby balls or American footballs). “Diving”: When players of a sport employ acting skills to gain advantageous decisions from a referee or umpire. BACKGROUND The “beautiful game” of football is a popular hobby and spectator sport. The inventor intends to overcome some disadvantages of the game of football as it is played or performed at present. The following are some perceived disadvantages. While the invention as described in this specification may never replace the present game, it may provide a rewarding alternative. Firstly, scoring in football is relatively infrequent, with common scores in national and international matches being low integers close to or equal to zero. For example, 1-0, 1-1, or a 0-0 scoreless draw and in some cases a “penalty shootout” is required, which tests different skills to those of team work. As a result of the low scores there is less opportunity to differentiate the performance of one team against others than if the scores could range up to perhaps 50 or even 100 from a game of equivalent length. Field hockey is another example of a low-scoring type of game. Secondly, football matches often involve extended periods of defensive play, reducing enjoyment for players and spectators. Thirdly, the conduct of football players at higher levels of the game can be unsportsmanlike, creating a negative atmosphere for players and fans. One example is the practice of “taking a dive” or “diving”, where players pretend to be injured or impeded by the other side to gain favourable rulings from a referee. This undermines the integrity of the game and rules, and emphasises acting rather than ball-control skills. “Diving” can unjustly sway the outcome of a game, for example where a penalty shot on goal is awarded. Fourthly, the physical design of basic goal structures being two poles embedded in the playing field and joined by a crossbar, supporting an optional net, is not inherently strong because it lacks cross-bracing. In summary, the rules and apparatus of football make it relatively hard to score in open running play, although relatively easy to score from penalty shots. This can encourage a negative approach to the game. PRIOR ART REVIEW The prior art classified under CPC class A63B includes US2004018897 (Nelson) in which the rules of play, but not the goal structures, are altered in order to enhance the game which is for two players only. US558338 (Taub) describes a goal having a net providing a planned rebound trajectory although it provides no zones over a player surface. U.S. Pat. No. 4,852,889 (Tomczak) describes a football type game having a net across the median line of the field and the entire with of the ends the field are return panels providing a controlled rebound trajectory. FR2750873 provides a pyramidal structure having a circular aperture within for receiving an accurately kicked ball, and some rules. FR2850290 (Boua) describes a block to be placed on a transverse bar of a conventional football goal in order to define a target zone, for practice purposes. GB2387786 (O'Connor) describes a football game making use of lighting for the players' apparel and for pitch markings. PROBLEM TO BE SOLVED In comparison to football as currently played, this invention comprising a combination of a zoned goal structure, a set of rules and preferably also a marked field, is intended to overcome the previously described problems and allow higher-scoring matches, and to reward kicking or hitting skills and positive goal-seeking play. OBJECT An object of the present application is to provide a goal structure and a set of accompanying rules for use in ball sports, or at least to provide the public with a useful choice. SUMMARY OF INVENTION In a first broad aspect the invention provides apparatus namely a goal structure, and provides a procedure comprising a marked playing field or pitch having a field surface and a related set of rules for a ball game in which the players of each of two competing teams endeavour to collect a higher score than the other team while defending their own goal; wherein the goal structure presents a semicircular player surface toward the playing field; a diameter of the semicircle is placed upon the field surface and an upper edge of the goal is extended upward while physically supported at a selected angle or tilt relative to the field surface, the semicircular player surface comprises a plurality of colored and visually distinctive concentric zones symmetric within the scoring face; each zone having a designated value to be added to the team's score if hit by the ball after an acceptable sequence of play as determined by the set of rules has taken place; said set of rules allocating a higher score to a more central zone of the player surface. Preferably each zone is allocated a designated value if hit by the ball from at least a designated range after an acceptable sequence of play, or a greater value if hit from a farther distance, as determined by the set of rules and markings on the playing field, thereby adding to the score of the team. Preferably the game does not allow the ball to be handled except at throwins. In a major aspect, the set of rules is optimised for a game in which a conventional spherical football (association football) is kicked at the goal and the selected tilt provides that the upper edge is further from the field than the lower surface. Preferably the selected tilt is an included angle of 70 degrees from the flat surface. Preferably the goal presents a series of three concentric zones upon the semicircular player surface. In one option a centre zone is colored red, a middle zone is white, and an outer zone is blue. In a related aspect, the or each goal is comprised of a rigid wooden playing surface supported in position by a support frame; the rigid playing surface having a mass in order to provide sufficient inertia to cause the ball to be reflected back toward the players after hitting the playing surface. Optionally the playing surface includes a force-absorbing property in order that the ball is reflected with loss of kinetic energy, and hence a reduced velocity. Optionally each of the concentric zones is mechanically at least partially isolated from adjacent zones in order that, when in use, scores are more clearly identified by zone. Further optionally, the or each goal may include means to provide an obvious physical deflection upon receiving an impact from a ball thereby providing a dampening effect. In a second major aspect, the set of rules defines a symmetrical field having a perimeter with, in plan view, an outline comprised of two semicircles separated by a rectangular middle portion; each of the goals being located beyond a periphery of each semicircle and separated from the playing field by a marked goal zone from which all players are excluded; each goal being surrounded by two part-circular playing field markings centered upon the goal; a closer part-circular marking defining a closest limit for a player from the attacking team and a farther part-circular marking defining a closest position from which a player can claim the higher score from a ball kicked at the goal. In a related aspect, at least one zone of the playing surface of the or each goal is provided with at least one identifiable ball-impact sensing means selected from a range including: acoustic means, electrical transducer means, optical sensing means including video cameras, and means permitting temporary physical deflection of the zone upon impact; the means thereby demonstrating which zone has received the ball impact. Preferred acoustic means are selected from a range including bells of various sizes, gongs, chimes, rattles, snare-drum chains, and other mechanisms which, when in use, create a distinctive noise on impact that may be related by players to a specific zone. Preferably the deflection means provides that each scoring zone may be hinged substantially at ground level, so that each scoring zone will tend to swing backwards when hit by a ball at speed, allowing visual identification of the scoring zone or zones which have been hit. Optionally, each hinged scoring zone has a gradual return mechanism which returns the scoring zone to its original position after a delay. In a subsidiary aspect, at least one electrical transducer mounted behind and in mechanical contact with at least one zone is connected by wires or wireless means to readout means selected from a range including lights within the player surface of the goal, a referee display and a remote scoreboard. Preferably electrically transduced score-indicating means are selected from a range including electronically triggered sounds, lights, audiovisual broadcast, computer-generated reconstructions including visual reconstructions of ball impacts with goal surfaces, and updating of visible scoreboards. In one version an electronic circuit including timers causes an array of embedded light-emitting diodes within any one zone of the player surface and visible from the playing area to flash for a short period after an impact has been detected. Optionally, dedicated analysis means is connected in between said at least one electrical transducer and the readout means; said dedicated analysis means including digital computing means capable when in use of determining which zone received an impact from a ball and including decision means to define a score if the impact was received across a boundary between zones. Preferably the readout means will display the location of the impact upon a reproduced image of the player surface of the goal upon the referee display and upon the remote scoreboard. In a second broad aspect the invention provides a goal structure adapted for use by children; wherein the or each goal is comprised of a series of semi-circular flexible membranes each delimiting a zone and semicircular membrane support means. Preferably the semicircular membrane support means comprises adherent sealed, flexible and distendable pressurisable tubes each including one-way valves capable of receiving an outlet from a source of air under pressure. PREFERRED EMBODIMENT The description of the invention to be provided herein is given purely by way of example and is not to be taken in any way as limiting the scope or extent of the invention. Throughout this specification unless the text requires otherwise, the word “comprise” and variations such as “comprising” or “comprises” will be understood to imply the inclusion of a stated integer or step or group of integers or steps but not the exclusion of any other integer or step or group of integers or steps. Each document, reference, patent application or patent cited in this text is expressly incorporated herein in their entirety by reference. Reference to cited material or information cited in the text should not be understood as a concession that the material or information was part of the common general knowledge or was known in New Zealand or in any other country. DRAWINGS FIG. 1: shows a player's view of the goal structure. FIG. 2a: shows a side view of the goal including a strut to set a tilt. FIG. 2b shows a side view of a goal in which the different zones are offset in surface height. FIG. 2c shows a spring-loaded hinge by which the zones of the goal structure can be pivotably attached to the beam. FIG. 3: shows a playing field which may be used with the goal. FIG. 4: shows an example electric “score-indicator” flashing-lights circuit diagram. FIG. 5: is a perspective view of a version of the goal structure that is reliant on air pressure for its erection. EXAMPLE 1A Goal Structure A goal structure according to the invention provides three scoring zones arranged concentrically. (Other numbers of zones may be used). The inventor has applied his trade mark “LAASGOAL™” to the goal structure. See FIG. 1, showing the overall semicircular shape of the goal (100) as seen from a front view, uncluttered by supports. The base or diameter of the semicircle is located substantially in the same plane as the surface of the playing field—although for some sports the goal may be elevated and circular rather than a half-circle. For football played by a team of adults the height of the top edge of the goal above the playing field is about one and a half metres (5 feet) and the diameter is about 3 metres (10 feet). There is a player surface, facing into the playing field. In a preferred version there are three concentric zones: a first inner scoring zone (121), second intermediate scoring zone (122), and third outer scoring zone (123) and all are supported upon the ground by a suitable frame (example: pipe 110). Preferably each goal structure is easily folded up for storage in an adjacent shed or for transport. The different zones are colored with paint or an equivalent, or by use of colored material and preferably the inner zone is colored red; the middle zone is colored white, and the outer zone is colored blue. This selection suits those players with red/green color blindness and coincides with availability of high-brightness light emitting diodes (see below). For scoring purposes a higher value is allocated to the central zone of the player surface since that one requires the best accuracy in kicking. That higher value is multiplied by the distance over which the kick was made, reflecting greater accuracy yet with no interception by the other side. The inventor's intention is that contact with any one scoring zone will be indicated unequivocally (see below) although there will be occasions in which the ball hits on a border between zones. Since the ball is not held within a net a form of “event capture” is useful. Recognition of the precise nature of the contact may be aided by constructional features or by electrical or acoustic transducers. See later in this section. The or each goal (100) is comprised of a rigid playing surface (as zones; 121, 122, 123). If necessary it is supported in position by rigid members selected from a range including straight and curved beams, and one or more angled struts (124) extended from the rear optionally anchored into the ground with pegs in order to absorb substantial kinetic energy and allow the mass of the goal itself to be substantially reduced. Since it is intended that the ball is reflected back to the players after a score is made, the playing surface preferably has significant inertia in order that the goal is not damaged by impact and in order that the resilient ball bounces off and is reflected back toward the players after hitting the playing surface. For example the surface may be wooden, such as a multi-layered plywood which has mass and is stiff enough that an impact is “shared” horizontally. It may prove to be desirable that some of the kinetic energy of the kicked ball is dissipated within a force-dampening means in order that the ball is reflected with a reduced velocity. One example force-dampening means comprises a deformable perhaps pitted surface in a rubbery coating upon the player surface; also, a selected surface may tend to grip the ball. Preferably the selected tilt is an included angle of 70 degrees from the flat surface with the top of the goal farther from the playing field, as shown in FIG. 2a. As a result, returned balls fly at a higher angle. On the other hand this effect could be dangerous if the goal was used for example in a field hockey game and for such games the tilt angle might be complementary to 70 degrees—tilted forward at the top. In FIG. 2a, a vertical cross-section of the goal structure, a support frame includes the frame, as a bar or pipe (110) joined to the lower edge of each scoring zone, with an extended strut (112) lying upon the ground located at an angle from the bar sufficient to keep the goal (100) at a desired orientation. As illustrated, the goal face is at an angle of substantially 70° from horizontal, sloping away from the field of play. This could be varied, for example by using a spiralling series of screw apertures drilled and tapped into bar (110) and letting the users select which aperture to insert the strut 112 (here shown with a screw end screwed into the pipe 110) into for a desired angle of tilt and a given softness of ground. Ideally, ball impact with any one scoring zone should be unequivocally displayed and preferably over a short period of time after actual impact. There are several options for putting this requirement into effect which shall be described under Example 1B below. In one structure-related option as shown in FIG. 2b, each of the concentric zones 121, 122 and 123 is mechanically isolated from adjacent zones upon a rear support 125 in order that, when in use, an impact on one zone has a specific physical effect on that zone alone. For example, the second scoring zone (122) may be mounted slightly further from the players than the first and third scoring zones (121, 123). Alternatively each zone may be hingedly mounted on the frame 110 and shown in detail in FIG. 2c. EXAMPLE 1B Scoring Indicators An example of mechanical means to provide an obvious physical falling-back deflection by any one zone upon receiving an impact from a ball and thereby also providing a dampening effect comprise the additional structures of (A) a hinge (113) at each end of the contact between bar (110) and any one sector, plus a return spring (114) associated with the bar and the impacted sector that pushes the attached sector back and restores its intended tilt after an impact. The resulting motion would last long enough to be seen by players and the referee. The cross-section of FIG. 2c is made at a different place along bar than FIG. 2a, and is shown in a larger scale. Optionally, each hinged scoring zone has a damper attached (not shown) which prevents oscillation and provides a gradual return of the zone to its original position during a time. Non-mechanical means that may also be fitted to a goal having multiple scoring zones include: 1. Acoustic impact indicators fitted to each separate scoring zone. Each zone is associated with a distinct noise, which is reliably and simply created by impact of a ball with the zone. Selected scoring zones may be equipped with simple noise making devices (not illustrated) such as bells, rattles, drums, snare-drum combinations, and chains. The bells, in particular, may be selected by tune so that people can identify the score by the sound. Some scoring zones may be left without extra noise making devices. 2. Each scoring zone is equipped with one or more electrical or electronic impact sensors—a single axis is sufficient—selected from an example range including (a) microswitches (402) each having a weight (401) on a free end of an actuating lever, (b) moving-coil speakers, and (c) accelerometers including digitally compatible modules containing accelerometer chips. Of course any such device is inherently robust when encased in a housing. The device and is mounted on the surface opposite the player surface. The impact of a ball on a scoring zone will then result in an impact signal being generated. Each sensor produces its own characteristic signal. a. For a microswitch as shown in the circuit of FIG. 4, closure of the normally-open contacts caused by vibration affecting the weight 401 will ground a signal line that is otherwise pulled to a working voltage supplied from a battery through a resistor (403) or other current limiting means. The signal line can trigger a timer circuit (assuming a 555 integrated circuit (404), for which only some of the ancillary components are shown here) connected in a “one-shot configuration” to generate a current to drive a steady or a blinking light for a period of time set by capacitor 405. For example, three seconds. The output of part 404 is taken to a second 555 integrated circuit (406) configured as an oscillator at a rate of for example 200 mS although prevented from oscillating unless part 404 is at the time in a timing process. The output of part 406 is either directly or through current boosting means passed through an array of light-emitting diodes 409. These are mounted within the player surface for example by being pushed from the rear of the zone into 5 mm holes drilled in a pattern so that their free ends are visible from the player side. The lights 409 are preferably of a color matching that of the zone in which they are embedded, such as red, white and blue lights—or it may be sufficient to provide the circuit for the innermost zone only as a kind of operant conditioning to encourage kicking accuracy. While this device, illustrated diagrammatically only in FIG. 4, is more complex than the direct acoustic means, it provides a kind of “bling” that may drive acceptance of the invention. It is powered from a battery connected between the (+) line and the earth symbol. The result as seen is a train of flashes lasting for a total of for example three seconds. b. A moving-coil speaker used as a generator is a single-axis transducer of motion. Its response is linear with respect to impact amplitude which may assist a computerised score generating device to decide which zone has been hit. The signal line can drive a timer circuit as previously described through an amplifier; for example comprising a transistor connected in the common-base configuration with the speaker coil capacitatively coupled to the emitter. c. In a practical installation, direct transducer signals or derivations of them may be transmitted by a wireless link to a remote receiver, which may be (i) a cellphone carried by a referee, or (ii) a receiver connected through optional computing and score-keeping means to appropriate display drivers which control a fixed scoreboard that the spectators can see. For example, the scoreboard may show a representation of both teams' goals with the most recent impact highlighted and a running score adjacent. Of course, automation of scoring is likely to require human input that defines from where the goal had been kicked. Details of this type of interlink will be familiar to those skilled in the arts of scoreboard design and management. 3. Optical devices that are useful in order to monitor impacts include video cameras, and still cameras triggered by the actual impact. EXAMPLE 2 Field and Rules The inventor has attached his trade mark “LAASBALL™” to the game, as set down by the rules and as played with a zoned goal as previously described in this section. As is usual, a playing field allows use of the goal in a competitive game. See FIG. 3, where a symmetrical playing field (300) is shown in plan view. Preferably the outer perimeter of the field as seen in plan view has an outline comprised of two semicircles separated by a rectangular middle portion. Goals (100) are provided at each end of the field. Each of the goals (100) is located just outside a periphery of each semicircle. Each goal is the centre for two part-circular markings lines (321 and 322) made upon the surface of the field. In front of each goal is a goal area (310) inside the closest line marking which is intended to be always out of bounds for players during play. Players of either team within the goal area (310) are deemed to be “off-side”. This creates a free area in front of each goal, encouraging attacking play and reducing the risk of players colliding with the goal. The line (321) is a boundary line for the attacking players, who may not cross. The defending players are allowed in the area between line (321) and the goal area. Line (322) is used, according to the rules, to weight a successful kick with more points. That line defines the closest edge of the higher-scoring part of the playing field or pitch, rewarding better kicking skills over a longer distance. The rules thereby encourage attacking play. Example scoring for use with the three-zone goal as described in Example 1a provides scores as follows: 1. A strike from between lines 321 and 322 on the innermost zone scores three. 2. A strike from between lines 321 and 322 to the intermediate zone scores two. 3. A strike from between lines 321 and 322 to the outermost zone scores one. Then, 4. A strike on the innermost zone from beyond line 322 scores six. 5. A strike to the intermediate zone from beyond line 322 scores four. 6. A strike to the outermost zone from beyond line 322 scores two. Preferably it is a rule of play that the ball must pass through a goal area in a valid scoring shot, to allow for reasonable opportunities to defend the goals. That is one reason why the perimeter of the playing area has rounded ends. Other rules of play that the inventor would like to include are: No “diving”—or a deduction of score against the team. No verbal abuse especially of the referee—or a deduction of score against the team. The extent of body contact allowed can be predetermined by the teams or others in order to enhance the competitive and exercise aspects of a game. A range might extend from “none at all” through intermediate levels to “rugby type contacts”. Variations A variant of the invention is adapted for use by children (though not exclusively so; it might be used in practice.). This variant (see FIG. 5) may be useful for those who want to practice, or who have a limited space and a small number in their teams. This version could be used for kicking practice. The same concept of the goal is provided. The set of rules is adapted for use by children. For safety, storage and portability each goal (500) is comprised of a series of semi-circular flexible membranes and semicircular membrane support means; namely inflatable tubes, preferably assembled from flexible PVC plastics material using heat-sealing such as ultrasonic welding machines to construct the goal structure. Air pressure is used to erect the goal when it is needed. The overall height of this variant may be 0.5 metres. The semicircular, concentric zones (501, 502, 503) are comprised of cut plastics film. These will not have significant capacity to return an impacting ball. The membrane support means comprises one or more adherent sealed, flexible and sealed, distendable pressurisable tubes (504) bonded as previously described to the edges of the plastics zone shapes. One or more one-way valves (505) capable of receiving an outlet from a source of air under pressure are used to connect an air supply, or to release air. For a source of air pressure it may be possible to simply blow it up, or use a bicycle pump or a small electric pump. The valve (505) is used to hold the air under pressure until the goal structure has to be put away. This design is cheap and very safe for use by children. It is potentially colorful. It naturally resembles a rainbow; otherwise the same red, white and blue sequence as for full-size goal structures can be used. It may be used with water ball sports as a floating goal. Other field games sharing a similar low-integer-score disadvantage with football include field hockey. The same goal structure, perhaps with a variation of the previously described tilt angle as appropriate for each game, may be used in order to enhance the game. Finally it will be understood that the scope of this invention as described and/or illustrated herein is not limited to the specified embodiments. Those of skill will appreciate that various modifications, additions, known equivalents, and substitutions are possible without departing from the scope and spirit of the invention as set forth in the following claims.",A63B63004,A63B6300,20160128,,20160728,93816.0 23,14989543,PENDING,METHOD AND ELECTRONIC DEVICE FOR MANAGING DATA,"An electronic device is provided. The electronic device includes a memory configured to store at least one application, a communication module configured to establish a connection between the electronic device and an external electronic device, and a processor configured to drive the at least one application, divide data executable by the at least one application into a first file and a second file, store the first file in the memory, and transmit the second file to the external electronic device through the communication module for storing the second file in the external electronic device.","1. An electronic device comprising: a memory configured to store at least one application; a communication module configured to establish a connection between the electronic device and an external electronic device; and a processor configured to drive the at least one application, divide data executable by the at least one application into a first file and a second file, store the first file in the memory, and transmit the second file to the external electronic device through the communication module for storing the second file in the external electronic device. 2. The electronic device of claim 1, wherein the first file is configured not to be executable by the at least one application. 3. The electronic device of claim 1, wherein the processor is further configured to determine a size of the first file and a size of the second file based on a type of the electronic device or the external electronic device. 4. The electronic device of claim 3, wherein if the external electronic device is a wearable device, the processor is further configured to determine if the size of the second file is smaller than the size of the first file. 5. The electronic device of claim 1, wherein the memory is further configured to store a key derivation algorithm, which is configured to generate an encryption key for encrypting the data, and wherein the processor is further configured to apply a password to the key derivation algorithm to generate the encryption key and encrypt the data using the encryption key. 6. The electronic device of claim 1, wherein the memory is further configured to store a key derivation algorithm, which is configured to generate an encryption key for encrypting the data, and wherein the processor is further configured to apply a password to the key derivation algorithm to generate the encryption key and encrypt the first file and the second file using the encryption key. 7. The electronic device of claim 5, wherein the password is obtained from the external electronic device. 8. The electronic device of claim 5, wherein the password is inputted by a user of the electronic device. 9. The electronic device of claim 5, wherein the processor is further configured to perform encryption based on a block cipher. 10. The electronic device of claim 1, wherein if the at least one application is driven and the connection between the electronic device and the external electronic device is established, the processor is further configured to determine whether the second file corresponding to the stored first file is received at the electronic device from the external electronic device, and wherein if it is determined that the second file is not received at the electronic device from the external electronic device, the processor is further configured to transmit a message, requesting that the second file be transmitted to the electronic device, to the external electronic device through the communication module. 11. The electronic device of claim 10, wherein the processor is further configured to restore the data from the first file and the second file when the second file is received from the external electronic device in response to the message. 12. The electronic device of claim 1, wherein the communication module establishes the connection with the external electronic device through a wireless local area network. 13. A data managing method of an electronic device, the method comprising: dividing data executable by at least one application into a first file and a second file; storing the first file in a memory of the electronic device; and transmitting the second file to an external electronic device for storing the second file in the external electronic device. 14. The method of claim 13, wherein dividing data comprises determining a size of the first file and a size of the second file based on a type of the electronic device or the external electronic device. 15. The method of claim 14, wherein the determining comprises if the external electronic device is a wearable device, determining if the size of the second file is smaller than the size of the first file. 16. The method of claim 13, further comprising: obtaining a password; applying the password to a key derivation algorithm and generating an encryption key; and encrypting the data using the generated encryption key. 17. The method of claim 16, wherein obtaining the password comprises: receiving the password from the external electronic device. 18. The method of claim 16, wherein obtaining the password comprises: receiving the password from a user of the electronic device. 19. The method of claim 13, further comprising: if the at least one application is driven and the connection between the electronic device and the external electronic device is established, determining whether the second file corresponding to the stored first file is received at the electronic device from the external electronic device, and if it is determined that the second file is not received at the electronic device from the external electronic device, transmitting a message, requesting that the second file be transmitted to the electronic device, to the external electronic device. 20. The method of claim 19, further comprising: receiving the second file from the external device in response to the message; and restoring the data from the stored first file and the received the second file."," BACKGROUND 1. Field of the Disclosure The present disclosure relates generally an electronic device, and more particularly, to an electronic device that utilizes a method for managing data. 2. Description of the Related Art Electronic devices such as a smartphone, a tablet PC, and the like support various functions such as image shooting, paperwork, Internet search, and the like, as well as call and message functions. Meanwhile, leakage or unauthorized access of secret files, e.g., documents, images, etc., stored in the electronic device by a user is an increasing problem. For example, a person, e.g., a hacker, can take a secret file stored in an electronic device of a person by hacking that electronic device. Furthermore, one or more members working on a team can leak a secret document to another person, or a person who picks up another person's electronic device can view, steal, or leak a private image from that electronic device. Password-type encryption can be used to decrease the unauthorized taking, viewing, etc., of files stored in an electronic device. However, if a password is known or an encryption algorithm is cracked, the password-type encryption cannot guarantee that the files on the electronic device will remain secure. Furthermore, the password-type encryption is not a practical form of protection for securing documents in certain circumstances, for example, in the case where a plurality of team members have access to the electronic device."," SUMMARY The present disclosure has been made to address at least the above-mentioned problems and/or disadvantages and to provide at least the advantages described below. Accordingly, an aspect of the present disclosure is to protect data stored in one or more electronic devices. In accordance with an aspect of the present invention, there is provided an electronic device. The electronic device includes a memory configured to store at least one application, a communication module configured to establish a connection between the electronic device and an external electronic device, and a processor configured to drive the at least one application, divide data executable by the at least one application into a first file and a second file, store the first file in the memory, and transmit the second file to the external electronic device through the communication module for storing the second file in the external electronic device. In accordance with an aspect of the present invention, there is provided a data managing method of an electronic device. The method includes dividing data executable by at least one application into a first file and a second file, storing the first file in a memory of the electronic device, and transmitting the second file to an external electronic device for storing the second file in the external electronic device.","PRIORITY This application claims priority under 35 U.S.C. §119(a) to Korean Patent Application Serial number 10-2015-0000986, which was filed on Jan. 6, 2015 in the Korean Intellectual Property Office, the entire disclosure of which is incorporated herein by reference. BACKGROUND 1. Field of the Disclosure The present disclosure relates generally an electronic device, and more particularly, to an electronic device that utilizes a method for managing data. 2. Description of the Related Art Electronic devices such as a smartphone, a tablet PC, and the like support various functions such as image shooting, paperwork, Internet search, and the like, as well as call and message functions. Meanwhile, leakage or unauthorized access of secret files, e.g., documents, images, etc., stored in the electronic device by a user is an increasing problem. For example, a person, e.g., a hacker, can take a secret file stored in an electronic device of a person by hacking that electronic device. Furthermore, one or more members working on a team can leak a secret document to another person, or a person who picks up another person's electronic device can view, steal, or leak a private image from that electronic device. Password-type encryption can be used to decrease the unauthorized taking, viewing, etc., of files stored in an electronic device. However, if a password is known or an encryption algorithm is cracked, the password-type encryption cannot guarantee that the files on the electronic device will remain secure. Furthermore, the password-type encryption is not a practical form of protection for securing documents in certain circumstances, for example, in the case where a plurality of team members have access to the electronic device. SUMMARY The present disclosure has been made to address at least the above-mentioned problems and/or disadvantages and to provide at least the advantages described below. Accordingly, an aspect of the present disclosure is to protect data stored in one or more electronic devices. In accordance with an aspect of the present invention, there is provided an electronic device. The electronic device includes a memory configured to store at least one application, a communication module configured to establish a connection between the electronic device and an external electronic device, and a processor configured to drive the at least one application, divide data executable by the at least one application into a first file and a second file, store the first file in the memory, and transmit the second file to the external electronic device through the communication module for storing the second file in the external electronic device. In accordance with an aspect of the present invention, there is provided a data managing method of an electronic device. The method includes dividing data executable by at least one application into a first file and a second file, storing the first file in a memory of the electronic device, and transmitting the second file to an external electronic device for storing the second file in the external electronic device. BRIEF DESCRIPTION OF THE DRAWINGS The above and other aspects, features, and advantages of certain embodiments of the present disclosure will be more apparent from the following description taken in conjunction with the accompanying drawings, in which: FIGS. 1A and 1B are diagrams illustrating various electronic devices that may use a data managing method, according to an embodiment of the present disclosure; FIG. 2 is a diagram illustrating an electronic device, according to an embodiment of the present disclosure; FIG. 3 is a flowchart illustrating a data managing method, according to an embodiment of the present disclosure; FIG. 4 is a flowchart illustrating a data managing method, according to an embodiment of the present disclosure; FIGS. 5A and 5B are diagrams illustrating a user interface (UI) for implementing a data managing method, according to an embodiment of the present disclosure; FIG. 6 is a flowchart of a data managing method, as applied to electronic devices belonging to the same user, according to an embodiment of the present disclosure; FIG. 7 is a flowchart of a data managing method, as applied to electronic devices belonging to different users, according to an embodiment of the present disclosure; FIG. 8 is a flowchart of a data managing method for restoration of data, according to an embodiment of the present disclosure; FIG. 9 is a flowchart illustrating a data managing method for restoration of data, according to an embodiment of the present disclosure; and FIGS. 10A-10D are diagrams illustrating a screen to which a data managing method is applied, according to an embodiment of the present disclosure. Throughout the drawings, it should be noted that like reference numbers are used to depict the same or similar elements, features, and structures. DETAILED DESCRIPTION Embodiments of the present disclosure will be described herein below with reference to the accompanying drawings. However, the embodiments of the present disclosure are not limited to the specific embodiments and should be construed as including all modifications, changes, equivalent devices and methods, and/or alternative embodiments of the present disclosure. In the description of the drawings, similar reference numerals are used for similar elements. The terms “have,” “may have,” “include,” and “may include” as used herein indicate the presence of corresponding features (for example, elements such as numerical values, functions, operations, or parts), and do not preclude the presence of additional features. The terms “A or B,” “at least one of A or/and B,” or “one or more of A or/and B” as used herein include all possible combinations of items enumerated with them. For example, “A or B,” “at least one of A and B,” or “at least one of A or B” means (1) including at least one A, (2) including at least one B, or (3) including both at least one A and at least one B. The terms such as “first” and “second” as used herein may modify various elements regardless of an order and/or importance of the corresponding elements, and do not limit the corresponding elements. These terms may be used for the purpose of distinguishing one element from another element. For example, a first user device and a second user device may indicate different user devices regardless of the order or importance. For example, a first element may be referred to as a second element without departing from the scope the present invention, and similarly, a second element may be referred to as a first element. It will be understood that, when an element (for example, a first element) is “(operatively or communicatively) coupled with/to” or “connected to” another element (for example, a second element), the element may be directly coupled with/to another element, and there may be an intervening element (for example, a third element) between the element and another element. To the contrary, it will be understood that, when an element (for example, a first element) is “directly coupled with/to” or “directly connected to” another element (for example, a second element), there is no intervening element (for example, a third element) between the element and another element. The expression “configured to (or set to)” as used herein may be replaced with “suitable for,” “having the capacity to,” “designed to,” “adapted to,” “made to,” or “capable of” according to a context. The term “configured to (set to)” does not necessarily mean “specifically designed to” in a hardware level. Instead, the expression “apparatus configured to . . . ” may mean that the apparatus is “capable of . . . ” along with other devices or parts in a certain context. For example, “a processor configured to (set to) perform A, B, and C” may mean a dedicated processor (e.g., an embedded processor) for performing a corresponding operation, or a generic-purpose processor (e.g., a CPU or an application processor) capable of performing a corresponding operation by executing one or more software programs stored in a memory device. The term “module” used herein may represent, for example, a unit including one or more combinations of hardware, software and firmware. The term “module” may be interchangeably used with the terms “unit”, “logic”, “logical block”, “component” and “circuit”. The “module” may be a minimum unit of an integrated component or may be a part thereof. The “module” may be a minimum unit for performing one or more functions or a part thereof. The “module” may be implemented mechanically or electronically. For example, the “module” may include at least one of an application-specific IC (ASIC) chip, a field-programmable gate array (FPGA), and a programmable-logic device for performing some operations, which are known or will be developed. The terms used in describing the various embodiments of the present disclosure are just for the purpose of describing particular embodiments and are not intended to limit the present disclosure. As used herein, the singular forms are intended to include the plural forms as well, unless the context clearly indicates otherwise. All of the terms used herein including technical or scientific terms have the same meanings as those generally understood by an ordinary skilled person in the related art unless they are defined otherwise. The terms defined in a generally used dictionary should be interpreted as having the same or similar meanings as the contextual meanings of the relevant technology and should not be interpreted as having ideal or exaggerated meanings unless they are clearly defined herein. According to circumstances, even the terms defined in this disclosure should not be interpreted as excluding the embodiments of the present disclosure. An electronic device according to the various embodiments of the present disclosure may include at least one of smartphones, tablet personal computers (PCs), mobile phones, video telephones, electronic book readers, desktop PCs, laptop PCs, netbook computers, workstations, servers, personal digital assistants (PDAs), portable multimedia players (PMPs), motion picture experts group (MPEG-1 or MPEG-2) audio layer 3 (MP3) players, mobile medical devices, cameras, wearable devices (e.g., head-mounted-devices (HMDs), such as electronic glasses), an electronic apparel, electronic bracelets, electronic necklaces, electronic appcessories, electronic tattoos, smart mirrors, smart bands, smart watches, and the like. Hereinafter, electronic devices according to an embodiment of the present disclosure will be described with reference to the accompanying drawings. The term “user” as used herein may refer to a person who uses an electronic device or may refer to a device (e.g., an artificial electronic device) that uses an electronic device. FIGS. 1A and 1B are diagrams illustrating various electronic devices that may use a data managing method, according to an embodiment of the present disclosure. Referring to. FIG. 1A, electronic devices 100A and 200A, which belong to a user A, are illustrated. The electronic device 100A may be a smartphone, and the electronic device 200A may be a wearable device (e.g., a smart watch). A connection between the electronic devices 100A and 200A may be established through one or more wireless local area networks, such as Bluetooth secure simple pairing (SPP). The electronic device 100A may obtain data from the user A or from another electronic device. For example, the electronic device 100A may obtain image data through a camera mounted thereon or may obtain document data transmitted from the electronic device 200A. The electronic device 100A may generate a first file and a second file by splitting/dividing the obtained data. The electronic device 100A may store the first file in a memory of the first electronic device 100A. Furthermore, the electronic device 100A may transmit the second file to the electronic device 200A. The first file, stored in the memory of the electronic device 100A, may not be executed or outputted through one or more applications that are capable of executing data before splitting the obtained data. For example, in searching for executable data files, the application may not inform the user A of the existence of the first file, may not provide a preview (e.g., a thumbnail, an icon, or the like) of the first file, or may prohibit execution of the first file. Similarly, the second file may not be executed or outputted through an application driven on the electronic device 200A. Since the first file and the second file are respectively stored in the electronic devices 100A and 200A, a user of each of the electronic devices 100A and 200A may not be able to read or edit a part or all of data before splitting the data. For example, even though the user A loses the electronic device 100A, any other user who picks up the electronic device 100A may not be able to read or edit a part or all of data before splitting the data as long as the user A keeps or maintains control of the electronic device 200A. Meanwhile, in the case where the user A intends to use data before splitting the data, the user A may drive an application on the electronic device 100A which stores the first file. If the application is driven, the electronic device 100A may determine whether a connection with the electronic device 200A is established. If the connection with the electronic device 200A is determined as being established, the electronic device 100A may transmit a message, which requests that the second file stored in the electronic device 200A be transmitted to the electronic device 100A, to the electronic device 200A. When the second file is received (or obtained) from the electronic device 200A in response to the message, the electronic device 100A may restore data based on the first file, stored in the memory, and the second file, thereby making it possible for the user A to execute a data file through the application. Referring to FIG. 1B, the electronic device 100A of the user A, an electronic device 300B of a user B, and an electronic device 400C of a user C are illustrated. For example, the electronic device 100A, the electronic device 300B, and the electronic device 400C may correspond to a smartphone, a laptop, and a tablet PC, respectively. The electronic devices 100A, 300B, and 400C may be connected to each other using a wireless local area network (WLAN) (e.g., Wi-Fi direct, NFC, or the like). The users A, B, and C may jointly work with data using their electronic devices 100A, 300B, and 400C. For example, the users A, B, and C may jointly make a document data file while performing the same project. The document data file may be respectively obtained by the electronic devices 100A, 300B, and 400C, and each of the users A, B, and C of the electronic devices 100A, 300B, and 400C may read or edit the document data file thus obtained. If the work project of the users A, B, and C is completed, the electronic device 100A may split the document data file to create a first file, a second file, and a third file. The electronic device 100A may store the first file in a memory included therein and may transmit the second file and the third file to the electronic device 300B and the electronic device 400C, respectively. In this case, the document data file, the second file, and the third file may not be stored in a storage device (e.g., a flash memory, a secure digital (SD) card, or the like) of the electronic device 100A. It may be impossible to execute or output the first file, stored in the memory of the electronic device 100A, through a document edition application. That is, the document edition application may not recognize existence of the first file in searching for an executable data file. For this reason, the document edition application may not execute the first file or may not provide a preview of the first file. Furthermore, the second and third files respectively transmitted to the electronic devices 300B and 400C may be stored in memories of the electronic devices 300B and 400C, respectively. Like the first file, it may be impossible for the electronic devices 300B and 400C to output the second and third files through the document edition application, respectively. Since each of the electronic devices 100A, 300B, and 400B stores only a corresponding split file, it may not edit or read a part or all of data before splitting. For example, even though the user B leaks a file of the work project maliciously, a document data file before splitting may not be leaked because only the split second file of the document data file is stored in the electronic device 300B of the user B. Meanwhile, the users A, B, and C may again start the work project relating to the document data file. If the user A drives a document read/edition application using the electronic device 100A, first, the electronic device 100A may determine whether a connection with the electronic devices 300B and 400C is established. If the connection with the electronic devices 300B and 400C is determined as being established, the electronic device 100A may transmit a message, requesting the second file, to the electronic device 300B and a message, requesting the third file, to the electronic device 400C. If the second file and the third file are respectively received from the electronic device 300B and the electronic device 400C in response to the messages, the electronic device 100A may restore data based on the first file, stored in the memory, and the second and third files. The above-described operations of the electronic device 100A may be performed on the electronic devices 300B and 400C in the same manner as above described. Accordingly, the user may obtain the restored document data file and may again perform the work project through the document edition application. The split files may be transmitted to a specific electronic device (e.g., an electronic device in which a file with the largest capacity is stored), and other electronic devices may connect to the specific electronic device and may participate in a work project. For example, the electronic device 100A may obtain the second and third files from the electronic device 300B and 400C respectively, and the electronic devices 300B and 400C may connect to the electronic device 100A in a cloud-computing manner and may work on the work project. FIG. 2 is a diagram illustrating an electronic device 101, according to an embodiment of the present disclosure. Referring to FIG. 2, the electronic device 101 may correspond to the electronic device 100A, 200A, 300B, or 400C illustrated in FIGS. 1A and 1C. The electronic device 101 includes a bus 110, a processor 120, a memory 130, an input/output interface 140, a display 150, and a communication module 160. The electronic device 101 may include at least one of the above-described components or may further include other component(s), or at least one of the aforementioned components may be omitted from the electronic device 101. The bus 110 may interconnect the above-described components 110-160 and may be a circuit for conveying communications (e.g., a control message and/or data) among the above-described components. The processor 120 may drive an application program (hereinafter referred to as an “application”) stored in the memory 130 and may execute data through the application. The data may include various data (files) regardless of formats. For example, the data may include document data, image data, video data, voice data, log data, and the like. The processor 120 may generate a first file 133 and a second file 135 by splitting/dividing the data. The processor 120 may store the first file in the memory 130 and may transmit the second file 135 to an external electronic device 102 through the communication module 160. The second file 135 may be stored in the external electronic device 102 (or a memory thereof). The processor 120 may use a lossless compression splitting method in splitting the data into the first file 133 and the second file 135. Furthermore, the processor 120 may further apply, for example, an error correcting code, which is used to detect and correct an error in splitting and restoring data, to the first file 133 or the second file 135. To detect the file corruption and ensure stability, a hash or a hash-based message authentication code (HMAC) may be shared by electronic device 101 and the external electronic device 102. It may be impossible to output the first file 133 through the application, and it may be impossible to output the second file 135 through an application included in the external electronic device 102. According to an embodiment, in splitting the data, the processor 120 may determine a size of the first file 133 to be generated and a size of the second file 135 to be generated, based on a type of the electronic device 101 or the external electronic device 102. For example, if the external electronic device 102 is a wearable device (e.g., a smart watch, a smart band, or the like), the processor 120 may determine the size of the second file 135 to be smaller than the size of the first file 133. The reason is that a memory included in the wearable device is smaller in capacity than a memory of a device (e.g., a smartphone, a tablet PC, or the like) that is not a wearable device. If the size of the second file 135 is relatively small compared the size of the first file, data load of wireless communication 164 may be reduced, and thus a file may be transmitted quickly. According to an embodiment, the processor 120 may encrypt the data and may split the encrypted data into the first file 133 and the second file 135. Alternatively, after the processor 120 splits the data into the first file 133 and the second file 135, the processor 120 may encrypt the first file 133 and the second file 135, respectively. The electronic device 101 may perform encryption using a given encryption algorithm 139. The given encryption algorithm 139 may correspond to an encryption algorithm which is based on a block cipher. The block cipher based encryption algorithm may use the advanced encryption standard (AES) encryption algorithm or may use the white-box AES encryption algorithm. In the case of performing encryption through an algorithm of AES 256 or more, an encryption mode may be set to AES/CBC/PKCSS padding. For example, the processor 120 may apply a key derivation algorithm to a password and may generate an encryption key. The processor 120 may encrypt data using the generated encryption key and the encryption algorithm 139. The password may be obtained from the external electronic device 102 through the communication module 160 or may be inputted by a user through the display 150, which is equipped with a touch panel. The processor 120 may perform the above-described encryption operation while obtaining the data (e.g., obtaining image data by selecting a camera shutter object in FIG. 5B). Alternatively, after obtaining the data, the processor 120 may perform the above-described encryption operation based on a selection of a user (e.g., a selection of choices 511 or 513 in FIG. 5A). Alternatively, the processor 120 may perform the above-described encryption operation with respect to previously obtained data at a specific time interval. In this case, the communication module 160 may ensure an encryption state of a file even though a connection with an external electronic device through the communication module 160 becomes deteriorated or is released. According to an embodiment, if an the application is driven and a connection between the electronic device 101 and the external electronic device 102 is established, the processor 120 may determine whether the second file 135 corresponding to the first file 133 stored in the memory 130 (or whether the second file 135 exists) is obtained. For example, in the case where the second file 135 is not obtained from the external electronic device 102, the processor 120 may transmit a message, requesting that the second file 135 be transmitted to the electronic device 101, to the external electronic device 102 through the communication module 160. If the second file 135 is received (or obtained) from the external electronic device 102 in response to the message, the processor 120 may restore data based on the first file 133 stored in the memory 130 and the second file 135 obtained from the external electronic device 102. According to an embodiment, each of the first file 133 stored in the memory 130 and the second file 135 obtained from the external electronic device 102 may be an encrypted file. The processor 120 may restore data before splitting the data based on the encrypted first file 133 and the encrypted second file 135. Restoration of the data may be performed by using a decryption algorithm 139 stored in 130. For example, the processor 120 may generate a decryption key by applying the key derivation algorithm 137 to a password obtained from the outside. The processor 120 may restore data from the first file 133 and the second file 135 using the generated decryption key and the decryption algorithm 139. The password may be obtained from the external electronic device 102 through the communication module 160 or may be obtained through the input/output interface 140. As described above, in the case where a user of the electronic device 101 intends to use data before splitting, the processor 120 may establish a connection with the external electronic device 102 and may restore data based on the above-described method. The processor 110 which performs the above-described function may include one or more of a central processing unit (CPU), an application processor (AP), or a communication processor (CP). For example, the processor 110 may perform an arithmetic operation or data processing associated with control and/or communication of at least other components. The memory 130 may be coupled to the processor 120 through the bus 110 and may store software and/or a program. The memory 130 may include, for example, an application program (or an application) 131 capable of executing data, the first file 133 split from the data, the key derivation algorithm 137 generating the encryption key and the decryption key, and the encryption/decryption algorithm 139. The I/O interface 140 may transmit an instruction or data, input from a user or another external device, to other component(s) of the electronic device 101. Furthermore, the I/O interface 250 may output an instruction or data, received from other component(s) of the electronic device 201, to a user or another external device. The display 150 may display, for example, various contents (e.g., a text, an image, a video, an icon, a symbol, and the like) to a user. The display 150 may include a touch screen and may receive, for example, a touch input, a gesture input, a proximity input, or a hovering input using an electronic pen or a portion of a user's body. For example, the display 150 may receive a password from a user or may display (or output) data which the application 131 executes. The communication module 160 may establish a communication connection between an external device (e.g., the external electronic device 102) and the electronic device 101 through the wireless communication 164. The wireless communication may include, for example, a local area network. For the local area network, the communication module 160 may include, for example, an interface module corresponding to at least one of Wi-Fi direct, Bluetooth, near field communication (NFC), a Bluetooth low energy (BLE), or an infrared-ray communication. According to an embodiment, the wireless communication may include a cellular communication. The wireless communication may include at least one of, for example, long-term evolution (LTE), LTE-advanced (LTE-A), code division multiple access (CDMA), wideband CDMA (WCDMA), universal mobile telecommunications (UMTs), wireless broadband (WiBro), global system for mobile communication (GSM), or the like, as cellular communication protocol. According to an embodiment, the wireless communication 164 may be replaced with a wired communication. The wired communication may include at least one of, for example, a universal serial bus (USB), a high definition multimedia interface (HDMI), a recommended standard-232 (RS-232), or a plain old telephone service (POTS). The external electronic device 102 may be a device which is different from or the same as the electronic device 101. All or a part of operations that the electronic device 101 will perform may be executed by another or plural electronic devices. In the case where the electronic device 101 executes any function or service automatically or in response to a request, the electronic device 101 may not perform the function or the service internally, but, alternatively/additionally, it may request at least a portion of a function associated with the electronic device 101 at other device (e.g., the external electronic device 102). The other electronic device (e.g., the external electronic device 102) may execute the requested function or additional function and may transmit the execution result to the electronic device 101. The electronic device 101 may provide the requested function or service using the received result or may additionally process the received result to provide the requested function or service. To this end, for example, cloud computing, distributed computing, or client-server computing may be used. Below, for illustrative purposes, a data managing method is described as being performed between two electronic devices. However, the scope and spirit of the present disclosure may not be limited thereto. As illustrated in FIG. 1B, the data managing method according to various embodiments of the present disclosure may be applied with respect to three or more electronic devices. FIG. 3 is a flowchart illustrating a data managing method, according to an embodiment of the present disclosure. In operation 301, the processor 120 may generate the first file 133 and the second file 135 by splitting data executable by the application 131. In operation 303, the processor 120 may store the first file 133 in the memory 130 of the electronic device 101. The first file 133 may be configured so as not to be outputted or executed by the application 131. In operation 305, the processor 120 may transmit the second file 135 to the external electronic device 102 through the communication module 160 so that the second file can be stored in the external electronic device 102. After the second file is transmitted to the external electronic device 102, the second file 135 may not exist in the electronic device 101, i.e., the second file may be deleted from the electronic device 101. FIG. 4 is a flowchart illustrating a data managing method, according to an embodiment of the present disclosure. In operation 401, the processor 120 may determine whether a connection with the external electronic device 102 through the communication module 160 is established. If the communication with the external device 102 is established, the data managing method may proceed to operation 403; if not, the data managing method may proceed to operation 401 for iterative determination. In operation 403, the processor 120 may determine a size (or a division ratio) of the first and second files 133 and 135 to be generated, based on a type of the electronic device 101 or the external electronic device 102. For example, the processor 120 may determine types (e.g., a model name, a device kind (e.g., a smartphone, a smart watch, a tablet PC, a laptop PC, or the like)) of electronic devices, a space of a storage device, a supportable communication manner (e.g., a cellular communication, Wi-Fi, a wired communication, or the like), based on a device profile stored in the memory 130 of the electronic device 101 and a device profile of the external electronic device 102 obtained in establishing the connection. For example, if the external electronic device 102 is a wearable device, the processor 120 may determine the size of the second file 135 to be smaller than the size of the first file 133. Furthermore, in the case where the electronic device 101 is a laptop PC equipped with a mass memory, the size of the second file 135 may be determined to be smaller than the size of the first file 133. The sizes of the first file 133 and the second file 135 may comply with a setting of a user, but the size of the second file may be set to have a minimum size which makes it impossible to restore data based on only the first file 133 corresponding to the second file 135. In operation 405, the processor 120 may generate the first file 133 and the second file 135 by splitting obtained data based on the file size determined in operation 403. In operation 407, the processor 120 may store the first file 133 in the memory 130. In operation 409, the processor 120 may transmit the second file 135 to the external electronic device 102 through the communication module 160. The second file 135 may be stored in a memory of or a memory connectable to the external electronic device 102. FIGS. 5A and 5B are diagrams illustrating a user interface (UI) for implementing a data managing method, according to an embodiment of the present disclosure. Referring to FIG. 5A, there is illustrated a tablet PC 510 in which the electronic device 101 is included. For example, a user of the tablet PC 510 may perform work relating to a document data file solely or in collaboration with any other user(s), using the document edition application. If the work is completed, the user of the tablet PC 510 may perform a user manipulation (e.g., a selection of a “secret save” button) to store the completed document data file. If the user manipulation is performed, a screen illustrated in FIG. 5A may appear on the display 150. For example, if the user of the tablet PC 510 selects the choice 511, a data managing method to be described with respect to FIG. 6 may be performed, or if the user selects the choice 513, a data managing method to be described with respect to FIG. 7 may be performed. Referring to FIG. 5B, there is illustrated a smartphone 520. The smartphone 520 may include an imaging sensor (a camera) and may correspond to the electronic device 101. A user of the smartphone 520 may obtain image data using a camera application. For example, image data may be obtained if the user of the smartphone 520 selects (or touches) an object 521 indicating a camera shutter. For example, the data managing method illustrated in FIG. 6 may be performed if the image data is obtained. Meanwhile, the camera application may provide an icon implying that the above-described secure manner is applied to an image being currently captured. For example, a lock-shaped indication may be included in the object 521 indicating the camera shutter. For example, the object 521 may be able to imply that a communication connection with the external electronic device 102 is established and that an image captured through the touch of the object 521 is split and stored in the smartphone 520 and the external electronic device 102. FIG. 6 is a flowchart illustrating a data managing method, which is applied to electronic devices belonging to the same user, according to an embodiment of the present disclosure. Referring to FIG. 6, Operation 601-operation 615 may be executed, for example, in the case where a user selects the choices 511 of FIG. 5A or selects a camera shutter object 521 of FIG. 5B. In the case where the choice 511 of FIG. 5A is selected, the split data may be a document data file, and in the case where the camera shutter object 521 of FIG. 5B is selected, the split data may be image data. In operation 601, the processor 120 may determine whether a connection with the external electronic device 102 is established. For example, the processor 120 may determine whether the external electronic device 102 connected with the electronic device 101 is registered as a device belonging to a user of the electronic device 101. For example, if the user of the external electronic device 102 is the same as the user of the electronic device 101, the data managing method may proceed to operation 603; if not, the data managing method may proceed to operation 601 for iterative determination. In operation 603, the electronic device 101 may obtain a password from a user through the display 150 equipped with a touch panel. For example the password may be inputted through the external electronic device 102 belonging to the same user. The electronic device 101 may receive the password from the external electronic device 102. Since the electronic device 101 and the external electronic device 102 both belong to the same user, the user may input the password through the electronic device 101 or the external electronic device 102) which the user randomly selects. In operation 605, the processor 120 may apply the key derivation algorithm 137 to the password obtained in operation 603 and may generate an encryption key. In operation 607, the processor 120 may encrypt the previously obtained data (e.g., a document data file or image data) using the encryption key generated in operation 605 and the encryption algorithm 139. According to an embodiment, the data may be encrypted after the data is split. In other words, data encryption may be performed with respect to each of the split first file 133 and the split second file 135. That is, operations 609-611 may be performed first, and operation 603-607 may be performed after operation 611. In this case, in operation 613 and operation 615, the first file 133 and the second file 135 may be files which are encrypted after splitting the data. In operation 609, the processor 120 may determine a size of the first file 133 to be generated from the data and a size of the second file 135 to be generated from the data, based on a type of the electronic device 101 or the external electronic device 102. If the external electronic device 102 is determined according to a profile of the external electronic device 102 as being a wearable device, the processor 120 may determine the size of the second file 135 to be smaller than the size of the first file 133. In operation 611, the processor 120 may split the obtained data based on the size of each file determined in operation 609 and may generate the first file 133 and the second file 135. In operation 613, the processor 120 may store the first file 133 in the memory 130. In operation 615, the processor 120 may transmit the second file 135 to the external electronic device 102 so that the second file can be stored in the external electronic device 102. FIG. 7 is a flowchart illustrating a data managing method, which is applied to electronic devices belonging to different users, according to an embodiment of the present disclosure. Below, a description of the operations of FIG. 7 that are the same as the operations of FIG. 6 are omitted. Referring to FIG. 7, operations 701-715 may be executed, for example, in the case where a user selects choice 511 of FIG. 5A. In the case where the choice 511 of FIG. 5A is selected, data to be split may be a document data file which is created in collaboration with a plurality of users. In operation 701, the processor 120 may determine whether a connection with the external electronic device 102 through the communication module 160 is established. That is, the processor 120 may determine whether the external electronic device 102 connected with the electronic device 101 is registered as a member. For example, if the external electronic device 102 is registered as a member, the data managing method may proceed to operation 703; if not, the data managing method may proceed to operation 701 for iterative determination. In operation 703, the processor 120 may receive a password, which any other member inputs using the external electronic device 102, from the external electronic device 102. Alternatively, the other member may input a password using the electronic device 101 instead of the external electronic device 102. In operation 705, the processor 120 may apply the key derivation algorithm 137 to the password obtained in operation 703 and may generate an encryption key. In operation 707, the processor 120 may encrypt the obtained data using the encryption key generated in operation 705 and the encryption algorithm 139 stored in the memory 130. In operation 709, the processor 120 may determine a size of the first file 133 to be generated from the data and a size of the second file 135 to be generated from the data, based on a type of the electronic device 101 or the external electronic device 102. In operation 711, the processor 120 may split the data based on the size of each file determined in operation 709 and may generate the first file 133 and the second file 135. In operation 713, the processor 120 may store the first file 133 in the memory 130. In operation 715, the processor 120 may transmit the second file 135 to the external electronic device 102 so that the second file can be stored in the external electronic device 102. FIG. 8 is a flowchart illustrating a data managing method for restoration of data, according to an embodiment of the present disclosure. Referring to FIG. 8, operations 801-813 may be performed, for example, after each operation of FIG. 3 or 4 is performed. In operation 801, the processor 120 may determine whether the application 131 capable of executing (e.g., reading, editing, or the like) data is driven. If the determination result indicates that the application 131 is driven, the data managing method may proceed to operation 803; if not, the data managing method may proceed to operation 801 for iterative determination. In operation 803, the processor 120 may determine whether a connection with the external electronic device 102 through the communication module 160 is established. If the determination result indicates that the connection with the external electronic device 102 through the communication module 160 is established, the data managing method may proceed to operation 805, and if not, the data managing method may be ended. According to an embodiment, if the connection with the external electronic device 102 is not established, the electronic device 101 may iteratively make an attempt at a connection with the external electronic device 102. In operation 805, the processor 120 may determine whether the second file 135 corresponding to the first file 133 is stored in the memory 130. For example, operation 805 may be performed when the application 131 searches for executable data. In the case where the second file 135 corresponding to the first file 133 is stored in the memory 130, the data managing method may proceed to operation 811; if not, the data managing method may proceed to operation 807. In the case where the second file 135 corresponding to the first file 133 is not stored in the memory 130, in operation 807, the processor 120 may transmit a message, requesting that the second file 135 be transmitted to the electronic device 101, to the external electronic device 102. In operation 809, the processor 120 may obtain from the external electronic device 102 the second file 135 corresponding to the message, transmitted in operation 807, through the communication module 160. In operation 811, the processor 120 may restore data based on the first file 133 and the second file 135. In operation 813, the processor 120 may output the restored data through an application. A user of the electronic device 101 may read or edit the restored data with the application. FIG. 9 is a flowchart illustrating a data managing method for restoration of data, according to an embodiment of the present disclosure. In FIG. 9, a description of the operations that are the same as the steps of FIG. 8 is omitted. Referring to FIG. 9, Operations 901-921 may be performed, for example, after each of the operations of FIGS. 6 and 7 is performed. Below, it may be assumed that the external electronic device 102 stores the second file 135 and the second file 135 is previously encrypted. In operation 901, the processor 120 may determine whether the application 131 is driven. If the determination result indicates that the application 131 is driven, the data managing method may proceed to operation 903; if not, the data managing method may proceed to operation 901 for iterative determination. In operation 903, the processor 120 may determine whether a connection with the external electronic device 102 through the communication module 160 is established. If the determination result indicates that the connection with the external electronic device 102 is established, the data managing method may proceed to operation 905; if not, the data managing method may be ended. In operation 905, the processor 120 may determine whether the second file 135 corresponding to the first file 133 is stored in the memory 130. For example, operation 905 may be performed when the application 131 searches for executable data. For example, in the case where the application 131 is an image viewer application, the image viewer application may search for and display displayable images (e.g., jpeg, gif, and png images). For example, the image viewer application may confirm existence of the first file 133 and may determine whether the second file 135 corresponding to the first file 133 is stored in the memory 130. For example, information (e.g., a file name, an identification of an external device in which the second file 135 is stored, and the like) about the second file 135 may be included in a header, a reserved field, and the like of the first file 133. The processor 120 may determine whether a corresponding file is stored in the memory 130, based on information relating to the second file 135. In the case where the second file 135 corresponding to the first file 133 is stored in the memory 130, the data managing method may proceed to operation 911; if not, the data managing method may proceed to operation 907. In the case where the second file 135 corresponding to the first file 133 is not stored in the memory 130, in operation 907, the processor 120 may transmit a message, requesting that the second file 135 be transmitted to the electronic device 101, to the external electronic device 102. In operation 909, the processor 120 may receive and obtain from the external electronic device 102 the second file 135 corresponding to the message, transmitted in operation 907, through the communication module 160. In operation 911, the processor 120 may transmit a message, requesting a password to the external electronic device 102 through the communication module 160. In operation 913, the processor 120 may obtain a password from the external electronic device 102 through the communication module 160 in response to the message transmitted in operation 911. Alternatively, the password may be directly obtained from a user of the external electronic device 102 through the display 150 equipped with a touch panel. In operation 915, the processor 120 may determine whether the password obtained from the external electronic device 102 is valid. If the determination result indicates that the obtained password is valid, the data managing method may proceed to operation 917; if not, the data managing method may be ended. In operation 917, the processor 120 may apply the key derivation algorithm 137 to the password obtained in operation 913 and may generate a decryption key. In operation 919, the processor 120 may restore data based on the first file 133 stored in the memory 130 and the second file 135 obtained from the external electronic device 102, using the decryption key generated in operation 917 and the decryption algorithm. In operation 921, the processor 120 may execute or output the restored data using an application. FIGS. 10A-10D are diagrams illustrating a screen to which a data managing method is applied, according to various embodiments of the present disclosure. In FIG. 10A, screens 1010 and 1020 corresponding to the case that split or restored data is image data are shown. In the screen 1010, a plurality of image data 1011 and a plurality of image data including image data 1013-1019 may be outputted by an image viewer application. The plurality of images 1011 may refer to image data restored according to a data managing method illustrated in FIG. 8 or 9. That is, each of the images 1011 may refer to an image restored from a first file stored in the memory 130 and a second file received from the external electronic device 102. A user may easily understand that each of the images 1011 is a restored image, through an unlocked indication. In contrast, the screen 1020 may appear in the case where data restoration by the methods of FIG. 8 or 9 is not made (e.g., “No” in operation 803 of FIG. 8, or “No” in operation 903 or operation 915 of FIG. 9). In the screen 1020, a plurality of image data including image data 1013, 1015, 1017, and 1019 may be outputted by the image viewer application. Since image data 1013, 1015, 1017, and 1019 is stored according to a general method, the image data 1013, 1015, 1017, and 1019 may be displayed in common on the screens 1010 and 1020. However, since the images 1011 are not restored, due to absence of the second file corresponding to each of the images 1011, the images 1011 may not be displayed on the screen 1020. In FIG. 10B, screens 1030 and 1040 corresponding to the case that split or restored data is communication history data are shown. In the screen 1030, receipt history data (or communication history data) 1031, 1033, and 1035 corresponding to contacts may be outputted by a messaging application. The receipt history data 1031 from “Keira Smith” may refer to image data restored according to a data managing method illustrated in FIG. 8 or 9. That is, the receipt history data 1031 may refer to receipt history data which is restored from a first file stored in the memory 130 and a second file received from the external electronic device 102. In contrast, the screen 1040 may appear in the case where data restoration by FIG. 8 or 9 is not made (e.g., “No” in operation 803 of FIG. 8, or “No” in operation 903 or operation 915 of FIG. 9). In the screen 1040, receipt history data 1031, 1035, and 1037 corresponding to contacts may be outputted by the messaging application. Since being general receipt history data to which various embodiments of the present disclosure are not applied, the receipt history data 1031, 1035, and 1037 may be displayed in common on the screens 1030 and 1040. However, the receipt history data 1031 from “Keira Smith” may not be displayed on the screen 1040 due to absence of a second file corresponding thereto. In FIG. 10C, screens 1050 and 1060 corresponding to the case where split or restored data is web page address data (e.g., a HTML file including URL) are shown. In the screen 1050, a plurality of web page address data including two pieces of web page address data (e.g., web page address data of “News Peppermint” and “Telegram”) 1051 may be outputted by a web browser application. Each of the two pieces of the web page address data 1051 may refer to web page address data restored according to a data managing method illustrated in FIG. 8 or 9. That is, each of the two pieces of the web page address data 1051 may refer to web page address data which is restored from a first file stored in the memory 130 and a second file received from the external electronic device 102. In contrast, the screen 1060 may appear in the case where data restoration by FIG. 8 or 9 is not made (e.g., “No” in operation 803 of FIG. 8, or “No” in operation 903 or operation 915 of FIG. 9). However, since the web page address data 1051 is not restored, due to absence of a second file corresponding to the web page address data 1051, the web page address data 1051 may not be outputted on the screen 1060. In FIG. 10D, screens 1070 and 1080 corresponding to the case that split or restored data is contact data are shown. In the screen 1070, a plurality of address book data (or contact data) including contact data 1071 of “Melissa” may be outputted by a contact application. The contact data 1071 of “Melissa” may correspond to contact data restored according to a data managing method illustrated in FIG. 8 or 9. That is, the contact data 1071 of “Melissa” may refer to contact data which is restored from a first file stored in the memory 130 and a second file received from the external electronic device 102. In contrast, the screen 1080 may appear in the case where data restoration by FIG. 8 or 9 is not made (e.g., “No” in operation 803 of FIG. 8, or “No” in operation 903 or operation 915 of FIG. 9). However, the contact data 1071 of “Melissa” may not be outputted on the screen 1060, due to absence of a second file corresponding thereto. In accordance with the instant disclosure, data, which is intended to be secure, may be split, and the split data may be respectively stored in a plurality of electronic devices. Thus, it may be impossible to restore data only using a split file stored in each of the electronic devices. This may mean that data necessary for protection of privacy or the secure measures is safely managed. Furthermore, the sizes of first and second files to be split may be determined according to a type of each electronic device. In particular, in the case where a wearable device is used as an external electronic device, the size of the second file to be transmitted to the wearable device may be made small, thereby reducing data throughput and making the use of a memory in the wearable device efficient. In addition, if the data managing method is applied to electronic devices belonging to the same user, even though one of the electronic devices is lost, a user picking up the lost electronic device may not read or spread a file before splitting because only a split file is stored in each electronic device. Furthermore, since data encryption is able to be additionally used, even though all the electronic devices are lost, a user picking up the electronic device by chance may not read or spread a file before splitting. If the data managing method is applied to electronic devices belonging to different users, to read or spread a file before splitting may be impossible as far as electronic devices of all users are not connected to each other. Data to be split may include data files of various formats. With the above description, the data managing method may be applied to various fields necessary for protection of privacy or secure measure. At least a portion of an apparatus (e.g., modules or functions thereof) or a method (e.g., operations) according to various embodiments of the present disclosure may be, for example, implemented by instructions stored in a non-transitory computer-readable storage media in the form of a program module. The instruction, when executed by one or more processors (e.g., a processor 120), may cause the one or more processors to perform a function corresponding to the instruction. A non-transitory computer-readable storage medium may be, for example, the memory 130. A non-transitory computer-readable recording medium may include a hard disk, a magnetic media, a floppy disk, a magnetic media (e.g., a magnetic tape), an optical media (e.g., a compact disc read only memory (CD-ROM) and a digital versatile disc (DVD), a magneto-optical media (e.g., a floptical disk), and hardware devices (e.g., a read only memory (ROM), a random access memory (RAM), or a flash memory). Also, a program instruction may include not only a mechanical code such as things generated by a compiler but also a high-level language code executable on a computer using an interpreter. The above hardware unit may be configured to operate via one or more software modules for performing an operation of the present disclosure, and vice versa. A module or a program module according to various embodiments of the present disclosure may include at least one of the above elements, or a portion of the above elements may be omitted, or additional other elements may be further included. Operations performed by a module, a program module, or other elements according to various embodiments of the present disclosure may be executed sequentially, in parallel, repeatedly, or in a heuristic method. Also, a portion of operations may be executed in different sequences, omitted, or other operations may be added. According to various embodiments of the present disclosure, data may be split, and the split data may be respectively stored in a plurality of electronic devices. Thus, it may be impossible to restore data with only a file stored in each electronic device. This may mean that key data is safely managed. While the present disclosure has been shown and described with reference to certain embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present disclosure as defined by the appended claims and their equivalents.",G06F2160,G06F2160,20160106,,20160707,75669.0 24,14908177,ACCEPTED,POWER CONVERSION DEVICE AND AIR-CONDITIONING APPARATUS,"A power conversion device includes: a rectifier, a converter unit including a reactor, a backflow prevention element, and a switching element, the converter unit being configured to boost a DC voltage rectified by the rectifier, a smoothing capacitor, a reactor current detection unit, a bus voltage detection unit, a temperature detection unit, and a control unit. The control unit includes a converter control unit configured to calculate a switching command value for driving the switching element, on the basis of a target command voltage which is the bus voltage to be targeted, the bus voltage detected by the bus voltage detection unit, and the reactor current detected by the reactor current detection unit, and a temperature correction unit configured to correct the temperature of the switching element detected by the temperature detection unit, on the basis of the switching command value calculated by the converter control unit.","1. A power conversion device comprising: a rectifier configured to rectify an input voltage supplied from an AC power supply; a converter including a reactor connected to an output end of the rectifier, a backflow prevention element connected in series to the reactor, and a switching element connected between the reactor and the backflow prevention element, the converter being configured to boost a DC voltage rectified by the rectifier; a smoothing capacitor configured to smooth a bus voltage outputted from the converter; a reactor current detector configured to detect a reactor current flowing through the reactor; a bus voltage detector configured to detect the bus voltage; a temperature detector configured to detect a temperature of the switching element; a converter control unit configured to calculate a switching command value for driving the switching element, on a basis of a target command voltage which is the bus voltage to be targeted, the bus voltage detected by the bus voltage detector, and the reactor current detected by the reactor current detector; and a temperature correction unit configured to correct the temperature of the switching element detected by the temperature detector, on a basis of the switching command value calculated by the converter control unit. 2. The power conversion device of claim 1, further comprising: a storage unit configured to store a correction table or a correction function showing a relationship between the switching command value and a correction amount of the temperature corrected by the temperature correction unit; and wherein the temperature correction unit corrects the temperature of the switching element detected by the temperature detector, by using, as the switching command value, a switching command value calculated during accrual operation, on a basis of the switching command value and the correction table or the correction function stored in the storage unit. 3. The power conversion device of claim 2, wherein the rectifier, the switching element, and the backflow prevention element are integrated in a module, and the correction table or the correction function is set on a basis of a distance between the temperature detection unit detector and the switching element within the module. 4. The power conversion device of claim 1, wherein the converter control unit averages the switching command value which pulsates in a cycle which is six times that of the AC power supply. 5. The power conversion device of claim 1, further comprising: a fault suppression control unit configured to instruct each component to perform an operation for suppressing a fault, on a basis of the temperature corrected by the temperature correction unit. 6. The power conversion device of claim 5, further comprising: a threshold determination unit configured to determine whether the temperature corrected by the temperature correction unit exceeds a preset first threshold, and determine whether the temperature corrected by the temperature correction unit exceeds a preset second threshold. 7. The power conversion device of claim 6, wherein when the threshold determination unit determines that the temperature corrected by the temperature correction unit exceeds the first threshold, the fault suppression control unit instructs the converter control unit to decrease output of the converter. 8. The power conversion device of claim 6, further comprising: an inverter unit configured to convert the bus voltage smoothed by the smoothing capacitor, to an AC voltage; and an inverter control unit configured to control operation of the inverter unit, wherein when the threshold determination unit determines that the temperature corrected by the temperature correction unit exceeds the first threshold, the fault suppression control unit instructs the inverter control unit to decrease output of the inverter unit. 9. The power conversion device of claim 6, wherein when the threshold determination unit determines that the temperature corrected by the temperature correction unit exceeds the second threshold, the fault suppression control unit instructs abnormal stop. 10. The power conversion device of claim 6, wherein the first threshold is lower than the second threshold. 11. The power conversion device of claim 6, wherein the second threshold is set to a temperature lower than a heat resistance temperature of the switching element. 12. The power conversion device of claim 1, wherein at least one of the switching element and the backflow prevention element is composed of a wide band gap semiconductor. 13. An air-conditioning apparatus comprising: the power conversion device of claim 1; and a motor driven by the power conversion device."," BACKGROUND ART In an air-conditioning apparatus, a method of driving a motor used for a compressor, a fan, and the like with an inverter for the purpose of energy saving has become mainstream. According to this method, first, an alternating current is converted by a converter to a direct current, and the direct current is converted by the inverter to an alternating current having an optional voltage and frequency. By using the alternating current having the optional voltage and frequency, the motor is driven, whereby it is possible to operate the motor with high efficiency. In recent years, an air-conditioning apparatus has been proposed in which, in pursuit of further energy saving, a boosting circuit is provided at the input side of an inverter, a rectification output rectified by a converter is boosted by using the boosting circuit, and the boosted rectification output is inputted to the inverter, In general, in a converter, a temperature detection unit such as a thermistor is provided near a semiconductor element used as a switching element, and a result of detection at the temperature detection unit is monitored to suppress abnormal superheating of the semiconductor element. Furthermore, a technique has also been proposed in which, in addition to the result of detection at the temperature detection unit, information such as an operation pattern is monitored to suppress abnormal superheating of the semiconductor element. Patent Literature 1 discloses an inverter device which includes: a voltage detection circuit which detects an input voltage of a converter circuit; and a temperature detector which detects a temperature near the converter circuit. Patent Literature 1 is intended to control an inverter circuit on the basis of the relationship between the input voltage detected by the voltage detection circuit and the temperature detected by the temperature detector, to suppress an increase in the temperature of a switching element of the converter circuit. Patent Literature 2 discloses a controller including a temperature estimation unit which estimates the temperature of an inverter on the basis of information regarding an operating state of the inverter. Patent Literature 2 is intended to provide an upper limit for the operating voltage of the inverter on the basis of the temperature of the inverter estimated by the temperature estimation unit, to prevent a voltage exceeding the withstand voltage of the switching element of the inverter from being applied to the inverter.", SUMMARY OF INVENTION ,"TECHNICAL FIELD The present invention relates to a power conversion device having a boosting function and an air-conditioning apparatus using the power conversion device. BACKGROUND ART In an air-conditioning apparatus, a method of driving a motor used for a compressor, a fan, and the like with an inverter for the purpose of energy saving has become mainstream. According to this method, first, an alternating current is converted by a converter to a direct current, and the direct current is converted by the inverter to an alternating current having an optional voltage and frequency. By using the alternating current having the optional voltage and frequency, the motor is driven, whereby it is possible to operate the motor with high efficiency. In recent years, an air-conditioning apparatus has been proposed in which, in pursuit of further energy saving, a boosting circuit is provided at the input side of an inverter, a rectification output rectified by a converter is boosted by using the boosting circuit, and the boosted rectification output is inputted to the inverter, In general, in a converter, a temperature detection unit such as a thermistor is provided near a semiconductor element used as a switching element, and a result of detection at the temperature detection unit is monitored to suppress abnormal superheating of the semiconductor element. Furthermore, a technique has also been proposed in which, in addition to the result of detection at the temperature detection unit, information such as an operation pattern is monitored to suppress abnormal superheating of the semiconductor element. Patent Literature 1 discloses an inverter device which includes: a voltage detection circuit which detects an input voltage of a converter circuit; and a temperature detector which detects a temperature near the converter circuit. Patent Literature 1 is intended to control an inverter circuit on the basis of the relationship between the input voltage detected by the voltage detection circuit and the temperature detected by the temperature detector, to suppress an increase in the temperature of a switching element of the converter circuit. Patent Literature 2 discloses a controller including a temperature estimation unit which estimates the temperature of an inverter on the basis of information regarding an operating state of the inverter. Patent Literature 2 is intended to provide an upper limit for the operating voltage of the inverter on the basis of the temperature of the inverter estimated by the temperature estimation unit, to prevent a voltage exceeding the withstand voltage of the switching element of the inverter from being applied to the inverter. CITATION LIST Patent Literature Patent Literature 1: Japanese Unexamined Patent Application Publication No. 2004-180466 (claim 1, page 5) Patent Literature 2: Japanese Patent No. 4678374 (pages 11 to 14) SUMMARY OF INVENTION Technical Problem However, in the inverter device disclosed in Patent Literature 1 requires a voltage detection circuit which detects an input voltage, and thus the cost is increased for the circuit. In addition, it is necessary to ensure a place for mounting the voltage detection circuit, leading to a further increase in the cost. Furthermore, heat generation of the converter depends on not only the input voltage but also a boosting amount at the converter unit. Thus, with control of the inverter circuit based on the input voltage, it is insufficient to suppress an increase in the temperature of the switching element of the converter unit. The controller disclosed in Patent Literature 2 estimates the temperature of the inverter unit on the basis of the operating state of the inverter unit, but does not take the converter unit into account. The converter unit needs to be switched at a higher speed than the inverter unit, and thus an amount of heat generated by the converter unit is larger than that by the inverter unit. Therefore, it is necessary to appropriately recognize the temperature of the converter unit. In order to accurately detect the temperature of the converter unit, the temperature detection unit suffices to be provided as close to the converter unit as possible. However, when the temperature detection unit is provided in a module in which a rectifier, a converter unit, and an inverter unit are housed in a single package, the packaging density within the module becomes high, so that it is difficult to provide the temperature detection unit closely to the converter unit in some cases. In addition, if the temperature detection unit can be provided closely to the converter unit, since a switching element of the converter unit is switched at a high speed, the temperature detection unit to be likely to be subject to noise or the like due to the switching. As a result, there is a possibility of erroneous detection by the temperature detection unit. The present invention has been made in view of the above-described problems, and an object of the present invention is to provide a power conversion device which appropriately recognizes the temperature of a switching element of a converter unit even when a temperature detection unit is provided at a position away from the switching element of the converter unit, thereby improving reliability; and an air-conditioning apparatus using the power conversion device. Solution to Problem A power conversion device according to the present invention includes: a rectifier configured to rectify an input voltage supplied from an AC power supply; a converter unit including: a reactor connected to an output end of the rectifier; a backflow prevention element connected in series to the reactor; and a switching element connected between the reactor and the backflow prevention element, the converter unit being configured to boost a DC voltage rectified by the rectifier; a smoothing capacitor configured to smooth a bus voltage outputted from the converter unit; a reactor current detection unit configured to detect a reactor current flowing through the reactor; a bus voltage detection unit configured to detect the bus voltage; a temperature detection unit configured to detect a temperature of the switching element; and a control unit. The control unit includes: a converter control unit configured to calculate a switching command value for driving the switching element, on the basis of a target command voltage which is the bus voltage to be targeted; the bus voltage detected by the bus voltage detection unit, and the reactor current detected by the reactor current detection unit; and a temperature correction unit configured to correct the temperature of the switching element detected by the temperature detection unit, on the basis of the switching command value calculated by the converter control unit. Advantageous Effects of Invention According to the present invention, the temperature correction unit corrects the temperature of the switching element of the converter unit which temperature is detected by the temperature detection unit, on the basis of the switching command value calculated by the converter control unit. Thus, even if the temperature detection unit is installed at a position away from the switching element, it is possible to accurately recognize the temperature of the switching element. In addition, a voltage detection unit is unnecessary, and thus it is possible to suppress cost increase. BRIEF DESCRIPTION OF DRAWINGS FIG. 1 is a circuit diagram showing a power conversion device 1 according to Embodiment 1. FIG. 2 is a block diagram showing a converter control unit 22 in Embodiment 1. FIG. 3 is a graph showing a switching command value in Embodiment 1. FIG. 4 is a schematic diagram showing installation positions of a temperature detection unit 13 in Embodiment 1. FIG. 5 is a graph showing a relationship between a switching command value and temperature in Embodiment 1. FIG. 6 is a graph showing a correction amount of a temperature corrected by a temperature correction unit 25 in Embodiment 1. DESCRIPTION OF EMBODIMENTS Hereinafter, Embodiments of a power conversion device and an air-conditioning apparatus using the power conversion device according to the present invention will be described with reference to the drawings. The present invention is not limited to Embodiments described below. In addition, the relationship of the size of each constituent element in the drawings described below including FIG. 1 may be different from the actual relationship. Embodiment 1 FIG. 1 is a circuit diagram showing a power conversion device 1 according to Embodiment 1. The power conversion device 1 will be described based on FIG. 1. As shown in FIG. 1, the power conversion device 1 includes a rectifier 3, a converter unit 4, a smoothing capacitor 5, an inverter unit 6, a reactor current detection unit 11, a bus voltage detection unit 12, a temperature detection unit 13, and a control unit 21. (Rectifier 3) The rectifier 3 converts an AC voltage which is an input voltage supplied from an AC power supply 2, for example, a three-phase AC power supply, to a DC voltage. The rectifier 3 is a three-phase full wave rectifier in which, for example, six diodes are bridge-connected, and the AC voltage supplied from the AC power supply 2 may be, for example, AC 200 V. (Converter unit 4) The converter unit 4 chops and varies the DC voltage rectified by the rectifier 3, and is, for example, a booster converter circuit (booster chopper circuit) which boosts the DC voltage. The converter unit 4 is able to boost the DC voltage rectified by the rectifier 3, to an optional voltage such as DC 365 V. In addition, the converter unit 4 includes a booster reactor 4a, a switching element 4b, and a backflow prevention element 4c. Among them, the backflow prevention element 4c may be, for example, a backflow prevention diode. The reactor 4a is connected to an output end of the rectifier 3, and the backflow prevention element 4c is connected in series to the reactor 4a. The switching element 4b is connected between the reactor 4a and the backflow prevention element 4c. A driving signal having a preset duty ratio is inputted to the switching element 4b, and switching operation thereof is controlled by a converter control unit 22 of the control unit 21. For example, a semiconductor element such as a MOSFET or an IGBT may be used as the switching element 4b, but the switching element 4b may be composed of a wide band gap semiconductor such as a diamond element, a gallium nitride (GaN) element, or a silicon carbide (SiC) element having a wider band gap than that of a silicon (Si) element. When the switching element 4b is ON, the DC voltage rectified by the rectifier 3 is applied to the reactor 4a, so that conduction to the backflow prevention element 4c is blocked. On the other hand, when the switching element 4b is OFF, the backflow prevention element 4c is conducted, and a voltage in the direction opposite to that of a voltage applied when the switching element 4b is ON is induced in the reactor 4a. The energy stored in the reactor 4a when the switching element 4b is ON is transferred to the smoothing capacitor 5 when the switching element 4b is turned OFF. At that time, it is possible to control a bus voltage outputted from the converter unit 4, by controlling an ON duty (a ratio between ON and OFF) of the switching element 4b. (Smoothing Capacitor 5) The smoothing capacitor 5 smooths the bus voltage outputted from the converter unit 4, that is, a bus voltage boosted by the converter unit 4. (Inverter Unit 6) The inverter unit 6 converts the bus voltage which is smoothed by the smoothing capacitor 5 and charged in the smoothing capacitor 5, to an AC voltage, and is composed of, for example, a plurality of inverter switching elements 6a. The inverter unit 6 is connected to a load such as a motor 7 used for a compressor of an air-conditioning apparatus, and supplies an AC current having predetermined frequency to the load. Operation of the inverter unit 6 is controlled by an inverter control unit 23 of the control unit 21. One motor current detection unit 14 for detecting a rotation speed is provided at each of the input side and the output side of the motor 7 which is the load. (Module 8) Among the components described above, the rectifier 3, the switching element 4b and the backflow prevention element 4c of the converter unit 4, and the inverter unit 6 are integrated in a single module 8. (Reactor Current Detection Unit 11) The reactor current detection unit 11 is, for example, connected in series to the reactor 4a and detects a reactor current flowing through the reactor 4a. (Bus Voltage Detection Unit 12) The bus voltage detection unit 12 is, for example, connected in parallel to the smoothing capacitor 5 and detects a bus voltage in the smoothing capacitor 5. (Temperature Detection Unit 13) The temperature detection unit 13 may be installed, for example, at any position in the module 8, and detects the temperature in the module 8, particularly, the temperature of the switching element 4b. A signal outputted from the temperature detection unit 13 is received by a temperature detection circuit 13a which transmits a signal regarding the temperature of the switching element 4b to the converter control unit 22 of the control unit 21. (Control Unit 21) The control unit 21 is composed of, for example, a microcomputer, and includes the converter control unit 22, the temperature correction unit 25, a storage unit 24, a threshold determination unit 26, a fault suppression control unit 27, and the inverter control unit 23. (Converter Control Unit 22) As described above, the converter control unit 22 controls switching operation of the switching element 4b of the converter unit 4. FIG. 2 is a block diagram showing the converter control unit 22 in Embodiment 1. As shown in FIG. 2, the converter control unit 22 includes a voltage command value calculation unit 22a and a current command value calculation unit 22b, and calculates a switching command value (ON duty command value) for driving the switching element 4b, on the basis of a target command voltage which is a bus voltage to be targeted, the bus voltage detected by the bus voltage detection unit 12, and the reactor current detected by the reactor current detection unit 11. Specifically, first, the voltage command value calculation unit 22a calculates a voltage command value on the basis of the target command voltage and a bus voltage whose noise is removed by a bus voltage filter 12a. The voltage command value calculation unit 22a is able to use proportional control, integral control, or the like. Next, the current command value calculation unit 22b calculates a switching command value on the basis of the voltage command value and a reactor current whose noise is removed by a reactor current filter 11a. The current command value calculation unit 22b is able to use proportional control, integral control, differential control, or the like. FIG. 3 is a graph showing a switching command value in Embodiment 1, The converter control unit 22 drives the switching element 4b with the switching command value obtained by the current command value calculation unit 22b. Specifically, by applying the calculated switching command value to a triangular wave having predetermined frequency, a period during which the triangular wave is greater than the switching command value is an ON state of a switching element driving signal, and a period during which the triangular wave is smaller than the switching command value is an OFF state of the switching element driving signal. When the switching command value changes, the times of ON and OFF of the switching element driving signal change, so that the ON duty ratio changes. The converter control unit 22 drives the switching element 4b with the switching element driving signal obtained on the basis of the switching command value. In general, when the DC voltage is boosted by the converter unit 4, where the DC voltage that is rectified by the rectifier 3 and has not been boosted is denoted by Ed and the target command voltage is denoted by Eo, a switching command value is obtained from the following formula (1). [Math, 1] D=(Eo−Ed)/Eo (1) In addition, where the voltage of the AC power supply 2 is denoted by Vs, a maximum value Edmax of the DC voltage Ed rectified by the rectifier 3 is as shown in the following formula (2). [Math. 2] Edmax=21/2·Vs (2) Moreover, a minimum value Edmin of the DC voltage Ed is as shown in the following formula (3). [Math. 3] Edmin=(61/2·Vs)/2 (3) That is, when the voltage of the AC power supply 2 and the target command voltage are determined, the maximum value and the minimum value of the switching command value are determined, and half of the sum of the maximum value and the minimum value is an average. The switching command value pulsates in a cycle which is six times of the frequency of the AC power supply 2. Thus, the switching command value may be filtered by using a sufficiently large filter to be averaged, thereby suppressing pulsation of the switching command value in a cycle which is six times of the frequency of the AC power supply 2. (Temperature Correction Unit 25) The temperature correction unit 25 corrects the temperature of the switching element 4b detected by the temperature detection unit 13, on the basis of the switching command value calculated by the converter control unit 22. The switching command value corresponds to an amount of work done by the converter unit 4. The larger the amount of work done by the converter unit 4 is, the higher the temperature of the switching element 4b is. The temperature correction unit 25 receives the signal regarding the temperature of the switching element 4b from the temperature detection circuit 13a which receives the signal outputted from the temperature detection unit 13. Here, the relationship between the temperature of the switching element 4b detected by the temperature detection unit 13 and the installation position of the temperature detection unit 13 will be described. FIG. 4 is a schematic diagram showing installation positions of the temperature detection unit 13 in Embodiment 1, As shown in FIG. 4, the module 8 is bonded, for example, to a heat sink 9. In the module 8, the switching element 4b of the converter unit 4 is one of components which generate heat most. Thus, the switching element 4b is in direct contact with the heat sink 9. FIG. 4 shows an example in which the temperature detection unit 13 is installed at a position α, a position β, or a position γ. The distance between the switching element 4b and the temperature detection unit 13 has a relationship of position α BACKGROUND TS-PC short defects are some of the most common and detrimental failure mechanisms for advanced process technology development in MOSFET device manufacturing. In-line detection of TS-PC short defects is especially challenging for new shorting mechanisms that can occur on an atomic scale due to new material/process flow implementation. Generally, critical TS-PC short defects cannot be detected by optical inspection methodology due to both resolution and contrast limitations. Electron beam inspection (EBI) has been the most popular in-line detection method for detecting TS-PC electric defects at the gate contact (CB) chemical mechanical polishing (CMP) (CB-CMP) stage of device processing. Currently, CB-CMP is the only check point for TS-PC short defects. However, the cycle time is too long and, therefore, a defect may already be present at the TS-CMP stage. Detection of TS-PC short defects at the TS-CMP stage is currently not possible due to fundamental challenges. Early detection of TS-PC short defects at the TS-CMP stage is of great practical value in terms of cycle time, early warning of process excursion, and reducing costs for high volume manufacturing. A need therefore exists for methodology enabling in-line detection of TS-PC defects at the TS-CMP processing stage."," SUMMARY An aspect of the present disclosure is a method of dual condition physics filtering and/or bright voltage contrast (BVC) nuisance suppression to enable in-line detection of TS-PC short defects at the TS-CMP processing stage. Another aspect of the present disclosure is a method of detecting TS-PC short defects through channel assisted conduction (CAC) based on a share contact (SC) design at the TS-CMP layer. A further aspect of the present disclosure is a method of monitoring and measuring TS-PC overlay (OVL) shift and process window variation based on in-line EBI scan results. Additional aspects and other features of the present disclosure will be set forth in the description which follows and in part will be apparent to those having ordinary skill in the art upon examination of the following or may be learned from the practice of the present disclosure. The advantages of the present disclosure may be realized and obtained as particularly pointed out in the appended claims. According to the present disclosure, some technical effects may be achieved in part by a method including: providing a semiconductor substrate, the substrate having a plurality of partially formed MOSFET devices; performing a first defect inspection on the substrate, the first inspection including advanced charging control (ACC); identifying one or more BVC candidates on the substrate based on the first inspection; performing a second defect inspection on the one or more BVC candidates, the second inspection performed without ACC; and detecting one or more BVC defects on the substrate based on the one or more BVC candidates appearing during both the first and second inspections. Aspects of the present disclosure include the first and second defect inspections being EBI. Other aspects include each of the one or more BVC defects being a source/drain to gate short. Another aspect of the present disclosure is a method including: forming a static random-access memory (SRAM) device with at least one n-node, at least one p-node, and at least one share contact, the at least one share contact connecting the at least one n-node to the at least one p-node; determining an upper limit of a threshold voltage (Vt) for the n-node; applying a voltage less than or equal to the upper limit to at least one source/drain (S/D) of the n-node; and determining whether a TS-PC short is present on the n-node based on the application of the voltage. Aspects of the present disclosure include the at least one p-node functioning as a virtual ground for the n-node. Other aspects include the Vt being less than one-half of the at least one S/D voltage (Vd). Further aspects include determining whether the TS-PC short is present based on CAC caused by an EBI. A further aspect of the present disclosure is a method including: providing a test device for OVL shift monitoring and measurement, the test device having an n-node, a p-node, and a plurality of ground (GND), bit line (BL), SC, voltage supply (Vdd), active channel region (Rx), and gate structures; modifying a width, a height, and/or a position of one or more BL and/or GND structures on one or more rows of the n-node; performing an EBI on the one or more rows of the n-node; determining an order of OVL shift between a TS and PC of the n-node based on BVC results from the EBI; and determining a measure of the OVL shift in an X-direction or a Y-direction based on the BVC results. Aspects of the present disclosure include determining the order of the OVL shift in an X-direction by: modifying a width of sequential BL and GND structures on the one or more rows of the n-node. Other aspects include determining at least one process window variation and/or at least one TS misalignment based on the BVC results. Further aspects include, wherein the measure of the OVL shift is based on the at least one process window variation, modifying the width of the BL or GND structures by: adjusting a first BL or GND structure so that the first BL or GND structure just starts touching adjacent gate contacts; and modifying the width of the sequential BL or GND structures until a last BL or GND structure on the one or more rows can no longer be subsequently printed. Additional aspects include, wherein the measure of the OVL shift is based on the at least one TS misalignment, modifying the width by: starting with a first width of a first BL or GND structure; and modifying the width of the sequential BL or GND structures until a last BL or GND structure on the one or more rows can no longer be subsequently printed. Another aspect includes determining the measure of OVL shift in a +X or −X direction by: disconnecting a first group of SC structures for a first row and a second group of SC structures for a second row of the one or more rows on the n-node before modifying the width of sequential BL and GND structures on the first and second rows. Other aspects include determining the measure of the OVL shift in an X-direction by: modifying a position of sequential BL and GND structures on the one or more rows of the n-node relative to one or more adjacent gate structures, respectively, on the one or more rows; and determining the measure of the OVL shift in a Y-direction by: modifying a position of one or more GND structures on the one or more rows of the n-node relative to one or more Vdd structures, respectively, on one or more adjacent rows on the n-node. Further aspects include determining the measure of the OVL shift in an X or a Y direction by: monitoring an order of the BVC results based on the modification. Additional aspects include determining the measure of the OVL shift in an +X or −X or a +Y or −Y direction, respectively, by: determining which row of the one or more rows corresponding to the BVC results are dominant. Another aspect includes determining the order of the OVL shift in a Y-direction by: modifying a height of sequential GND structures on the one or more rows of the n-node. Other aspects include the maximum height of the sequential GND structures being limited by a GND to Vdd space along the Y-direction. Further aspects include determining the measure of the OVL shift in the Y-direction by: monitoring an order of the BVC results based on the modification. Additional aspects include determining the measure of the OVL shift in a +Y or −Y direction by: determining which row of the one or more rows corresponding to the BVC results are dominant. Additional aspects and technical effects of the present disclosure will become readily apparent to those skilled in the art from the following detailed description wherein embodiments of the present disclosure are described simply by way of illustration of the best mode contemplated to carry out the present disclosure. As will be realized, the present disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present disclosure. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.","TECHNICAL FIELD The present disclosure relates to a manufacture of metal-oxide-semiconductor field-effect transistor (MOSFET) devices. The present disclosure is particularly applicable to detecting source/drain (TS) to gate (PC) (TS-PC) shorts for the 14 nanometer (nm) technology node and beyond. BACKGROUND TS-PC short defects are some of the most common and detrimental failure mechanisms for advanced process technology development in MOSFET device manufacturing. In-line detection of TS-PC short defects is especially challenging for new shorting mechanisms that can occur on an atomic scale due to new material/process flow implementation. Generally, critical TS-PC short defects cannot be detected by optical inspection methodology due to both resolution and contrast limitations. Electron beam inspection (EBI) has been the most popular in-line detection method for detecting TS-PC electric defects at the gate contact (CB) chemical mechanical polishing (CMP) (CB-CMP) stage of device processing. Currently, CB-CMP is the only check point for TS-PC short defects. However, the cycle time is too long and, therefore, a defect may already be present at the TS-CMP stage. Detection of TS-PC short defects at the TS-CMP stage is currently not possible due to fundamental challenges. Early detection of TS-PC short defects at the TS-CMP stage is of great practical value in terms of cycle time, early warning of process excursion, and reducing costs for high volume manufacturing. A need therefore exists for methodology enabling in-line detection of TS-PC defects at the TS-CMP processing stage. SUMMARY An aspect of the present disclosure is a method of dual condition physics filtering and/or bright voltage contrast (BVC) nuisance suppression to enable in-line detection of TS-PC short defects at the TS-CMP processing stage. Another aspect of the present disclosure is a method of detecting TS-PC short defects through channel assisted conduction (CAC) based on a share contact (SC) design at the TS-CMP layer. A further aspect of the present disclosure is a method of monitoring and measuring TS-PC overlay (OVL) shift and process window variation based on in-line EBI scan results. Additional aspects and other features of the present disclosure will be set forth in the description which follows and in part will be apparent to those having ordinary skill in the art upon examination of the following or may be learned from the practice of the present disclosure. The advantages of the present disclosure may be realized and obtained as particularly pointed out in the appended claims. According to the present disclosure, some technical effects may be achieved in part by a method including: providing a semiconductor substrate, the substrate having a plurality of partially formed MOSFET devices; performing a first defect inspection on the substrate, the first inspection including advanced charging control (ACC); identifying one or more BVC candidates on the substrate based on the first inspection; performing a second defect inspection on the one or more BVC candidates, the second inspection performed without ACC; and detecting one or more BVC defects on the substrate based on the one or more BVC candidates appearing during both the first and second inspections. Aspects of the present disclosure include the first and second defect inspections being EBI. Other aspects include each of the one or more BVC defects being a source/drain to gate short. Another aspect of the present disclosure is a method including: forming a static random-access memory (SRAM) device with at least one n-node, at least one p-node, and at least one share contact, the at least one share contact connecting the at least one n-node to the at least one p-node; determining an upper limit of a threshold voltage (Vt) for the n-node; applying a voltage less than or equal to the upper limit to at least one source/drain (S/D) of the n-node; and determining whether a TS-PC short is present on the n-node based on the application of the voltage. Aspects of the present disclosure include the at least one p-node functioning as a virtual ground for the n-node. Other aspects include the Vt being less than one-half of the at least one S/D voltage (Vd). Further aspects include determining whether the TS-PC short is present based on CAC caused by an EBI. A further aspect of the present disclosure is a method including: providing a test device for OVL shift monitoring and measurement, the test device having an n-node, a p-node, and a plurality of ground (GND), bit line (BL), SC, voltage supply (Vdd), active channel region (Rx), and gate structures; modifying a width, a height, and/or a position of one or more BL and/or GND structures on one or more rows of the n-node; performing an EBI on the one or more rows of the n-node; determining an order of OVL shift between a TS and PC of the n-node based on BVC results from the EBI; and determining a measure of the OVL shift in an X-direction or a Y-direction based on the BVC results. Aspects of the present disclosure include determining the order of the OVL shift in an X-direction by: modifying a width of sequential BL and GND structures on the one or more rows of the n-node. Other aspects include determining at least one process window variation and/or at least one TS misalignment based on the BVC results. Further aspects include, wherein the measure of the OVL shift is based on the at least one process window variation, modifying the width of the BL or GND structures by: adjusting a first BL or GND structure so that the first BL or GND structure just starts touching adjacent gate contacts; and modifying the width of the sequential BL or GND structures until a last BL or GND structure on the one or more rows can no longer be subsequently printed. Additional aspects include, wherein the measure of the OVL shift is based on the at least one TS misalignment, modifying the width by: starting with a first width of a first BL or GND structure; and modifying the width of the sequential BL or GND structures until a last BL or GND structure on the one or more rows can no longer be subsequently printed. Another aspect includes determining the measure of OVL shift in a +X or −X direction by: disconnecting a first group of SC structures for a first row and a second group of SC structures for a second row of the one or more rows on the n-node before modifying the width of sequential BL and GND structures on the first and second rows. Other aspects include determining the measure of the OVL shift in an X-direction by: modifying a position of sequential BL and GND structures on the one or more rows of the n-node relative to one or more adjacent gate structures, respectively, on the one or more rows; and determining the measure of the OVL shift in a Y-direction by: modifying a position of one or more GND structures on the one or more rows of the n-node relative to one or more Vdd structures, respectively, on one or more adjacent rows on the n-node. Further aspects include determining the measure of the OVL shift in an X or a Y direction by: monitoring an order of the BVC results based on the modification. Additional aspects include determining the measure of the OVL shift in an +X or −X or a +Y or −Y direction, respectively, by: determining which row of the one or more rows corresponding to the BVC results are dominant. Another aspect includes determining the order of the OVL shift in a Y-direction by: modifying a height of sequential GND structures on the one or more rows of the n-node. Other aspects include the maximum height of the sequential GND structures being limited by a GND to Vdd space along the Y-direction. Further aspects include determining the measure of the OVL shift in the Y-direction by: monitoring an order of the BVC results based on the modification. Additional aspects include determining the measure of the OVL shift in a +Y or −Y direction by: determining which row of the one or more rows corresponding to the BVC results are dominant. Additional aspects and technical effects of the present disclosure will become readily apparent to those skilled in the art from the following detailed description wherein embodiments of the present disclosure are described simply by way of illustration of the best mode contemplated to carry out the present disclosure. As will be realized, the present disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present disclosure. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive. BRIEF DESCRIPTION OF THE DRAWINGS The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawing and in which like reference numerals refer to similar elements and in which: FIG. 1 illustrates a process flow for dual condition physics filtering and/or BVC nuisance suppression to enable in-line detection of TS-PC short defects at the TS-CMP processing stage, in accordance with an exemplary embodiment; FIGS. 2A and 2B schematically illustrate a SC design that enables in-line detection of TS-PC short defects by CAC and the physical principle of CAC under ebeam exposure, respectively, in accordance with an exemplary embodiment; FIGS. 3A and 3B schematically illustrate a test structure for monitoring and measurement of OVL shift in an X-direction based on contact size modulation, in accordance with an exemplary embodiment; FIGS. 4A and 4B schematically illustrate a simulation case of the TS misalignment measure from the test structure of FIGS. 3A and 3B, in accordance with an exemplary embodiment; FIG. 5 schematically illustrates a test structure for vector-based in-line monitoring and measurement of OVL shift in an X-direction based on contact size modulation, in accordance with another exemplary embodiment; FIGS. 6A and 6B schematically illustrate a simulation case of the TS misalignment measure from the test structure of FIG. 5, in accordance with an exemplary embodiment; FIG. 7 schematically illustrates a test structure for in-line monitoring and measurement of OVL shift in an X-direction based on contact position modulation, in accordance with an exemplary embodiment; FIG. 8 schematically illustrates a simulation case of the TS misalignment measure from the test structure of FIG. 7, in accordance with an exemplary embodiment; FIG. 9 schematically illustrates a test structure for in-line monitoring and measurement of OVL shift in a Y-direction based on contact size modulation, in accordance with an exemplary embodiment; FIG. 10 schematically illustrates a simulation case of TS misalignment measure from the test structure of FIG. 9, in accordance with an exemplary embodiment; FIG. 11 schematically illustrates a test structure for in-line monitoring and measurement of OVL shift in a Y-direction based on contact position modulation, in accordance with an exemplary embodiment; and FIG. 12 schematically illustrates a simulation case of TS misalignment measure from the test structure of FIG. 11, in accordance with an exemplary embodiment. DETAILED DESCRIPTION In the following description, for the purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of exemplary embodiments. It should be apparent, however, that exemplary embodiments may be practiced without these specific details or with an equivalent arrangement. In other instances, well-known structures and devices are shown in block diagram form in order to avoid unnecessarily obscuring exemplary embodiments. In addition, unless otherwise indicated, all numbers expressing quantities, ratios, and numerical properties of ingredients, reaction conditions, and so forth used in the specification and claims are to be understood as being modified in all instances by the term “about.” The present disclosure addresses and solves the current problem of an inability to detect TS-PC short defects in-line at the TS-CMP processing stage attendant upon EBI inspection during MOSFET device formation. Methodology in accordance with embodiments of the present disclosure includes providing a semiconductor substrate, the substrate having a plurality of partially formed MOSFET devices. A defect inspection is performed on the substrate, in which the inspection includes ACC. One or more BVC candidates on the substrate are identified based on the inspection, and a second defect inspection is performed on the one or more BVC candidates, the second inspection being performed without ACC. One or more BVC defects are then detected on the substrate based on the one or more BVC candidates appearing during both inspections. Still other aspects, features, and technical effects will be readily apparent to those skilled in this art from the following detailed description, wherein preferred embodiments are shown and described, simply by way of illustration of the best mode contemplated. The disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive. FIG. 1 illustrates a process flow for dual condition physics filtering and/or BVC nuisance suppression to enable in-line detection of TS-PC short defects at the TS-CMP processing stage. In step 101, a semiconductor substrate with a plurality of partially formed MOSFET devices is provided for defect inspection, e.g., by EBI. At this stage of device processing, there is no detectable voltage contrast (VC) signal at the TS-CMP level because both the gates and n-nodes of a device are “floating” and, therefore, will appear dark as a result of EBI. In contrast, the p-nodes of the device are grounded and, therefore, will appear bright as a result of EBI. A defect inspection is then performed on the substrate with ACC turned on, e.g., laser radiation from scanning the substrate with an electron beam (ebeam). When ACC is turned on, a more uniform and stable charging state can be obtained, but false BVC results can also occur as a result of junction leakage induced by the optical radiation. Consequently, the results of a defect inspection performed only with ACC on will be overwhelmed by nuisance/noise rate and, therefore, prevent accurate BVC detection. In step 103, the BVC candidates resulting from the first inspection are classified by on-tool automatic defect classification (ADC), and then the various BVC candidates are inspected again without ACC. By performing the second inspection without ACC, many of the BVC candidates from the first inspection will no longer be visible because of the enhanced n-p junction barrier height without laser illumination. However, simply performing the inspection without ACC is also insufficient because of the resulting nuisance/noise due to non-uniform charging and/or image artifacts. In step 105, the BVC candidates are examined to determine whether the BVC is still visible after the second inspection. If a BVC candidate from the first inspection is no longer visible after the second inspection, then in step 107, those BVC candidates are determined not to be BVC defects, but rather nuisance due to optically induced leakage. In contrast, if a BVC candidate from the first inspection is still visible after the second inspection, then in step 109, those BVC candidates are determined to be real BVC due to an electrical short, e.g., a TS-PC short. Thus, the second inspection without optical illumination can serve as a physics filter to separate nuisance due to optically induced junction leakage. In step 111, once the one or more BVC candidates are identified as true or real BVC defects on the substrate, the final data is outputted for further device processing. FIGS. 2A and 2B (an orthographic view and a cross-sectional view) schematically illustrate a SC design that enables in-line detection of TS-PC short defects by CAC and the physical principle of CAC under ebeam exposure, respectively, in accordance with an exemplary embodiment. Adverting to FIG. 2A, a 14 nm SRAM device 201, e.g., a pull-down terminal, is formed with an n-node 203, a p-node 205, and a SC 207, which connects the n-node 203 and the p-node 205 via the S/D contact 209 of the p-node 205 and the S/D contact 211 of the n-node 203. The p-node 205 has a relatively low resistance and, therefore, provides a virtual ground for the neighboring n-node 203. The n-node 203 also includes a gate contact 213, a S/D contact 215, and a channel 217. Further, a TS-PC short, e.g., a STI void, titanium residue, fin crystal defect, or OVL shift, has occurred in the n-node 203 during manufacturing as represented by the bar 219. The design of the SRAM device 201 is limited to the 14 nm technology node and beyond because larger technology nodes, e.g., the 20 nm, do not include share contacts and, therefore, TS-PC short detection at the TS-CMP processing stage is not possible since both the n-node junction and gate contacts are “floating.” To switch “on” the channel 217 and, therefore, to induce the movement of electrons (e−) from the S/D contact 209 through the n-node 203 and ultimately to the S/D contact 215 as represented by the arrows 221, and to enable BVC detection on the shorted n-node 203, there is some upper limit for the threshold voltage (Vt) (e.g., around 0.35-0.4 volts (V)). The upper limit of Vt may be determined or solved, for example, by using the following general equation for the linear region above threshold: I d ≈ 2  μ   C OX  W L  ( V g - V t - V d 2 )  Vd . In particular, to switch on the channel 217 to enable BVC on the shorted n-node 203 when Vg=Vd, Vt must satisfy V t < V d 2 . Thus, detection of a TS-PC short on the floating n-node 203 is possible when Vt is small enough (the smaller the better) to switch on the channel 217. The surface potential can be varied by changing the injected ebeam current. The surface potential of the p-node 205 (forward biased P-N junction) under a positive charge condition is basically “pinned” (e.g., around 0.7-0.8 V) and, therefore, results in an almost flat grey level value (GLV) despite increasing the applied ebeam current. In contrast, the reversed biased n-node 203 potential can continue to increase with increased current and the resulting GLV will correspondingly decrease. Without the SC 207, the S/D contacts 211 and 215 would remain floating and, therefore, appear dark despite the presence of the TS-PC short 219. FIGS. 3A and 3B schematically illustrate a test structure for in-line monitoring and measurement of OVL shift in an X-direction based on contact size modulation, according to an exemplary embodiment. Adverting to FIG. 3A, a test device 301 is formed with n-nodes 303 and 305 and a plurality of p-nodes 307. The test device 301 also includes a plurality of GND 309, BL 311, SC 313, Vdd 315, Rx 317, and gate 319 structures. From a junction design point of view, there is no difference between the BL 311 and GND 309 contacts. By continuously varying the width of sequential stand-alone n-node 303 and 305 contacts, e.g., GND 309 and BL 311, a precise metric for TS-PC alignment can be set. For example the width of GND 309 (W0 and W2) and BL 311 (W1 and W3) may be increased and the width of GND 309 (W4 and W6) and BL 311 (W5) may be decreased. By monitoring the order of resulting BVC from exposure to an ebeam scan on the gradually reduced TS contact size where Wi=W0−(i−1)×ΔW, not only can process variation related to a process window be determined, the precise TS misalignment can also be determined from comparison to the order of BVC from a conventional process. Further, the fabrication flow is fully compatible to a conventional process, thus can represent the real process issue of the production chip. If a precise process window needs to be extracted by this methodology, i.e., an absolute measure of TS-alignment, the starting point of the n-node contact width can be set to where a TS contact, e.g., GND 309, just starts to touch adjacent gate contacts 319 (depending on design rule and process), as depicted in FIG. 3B (a cross-sectional view). Adverting to FIG. 3B, an interlayer dielectric (ILD) 321 is formed between the gate contacts 319, and the SC 313, gate contacts 319, GND 309, and BL 311 are all formed on a substrate 323. The process window of a conventional process can be obtained from the order (f) of observed BVC, i.e., starting from W0 up to ith BVC can be visible from the stand-alone gradually modulated n-node 303 and 305 contacts. The maximum order of modulated TS contact width can be determined from the maximum printability of the corresponding process. Further, the accuracy of the TS misalignment can be determined by the half of the step: ΔW/2. Alternatively, if only TS misalignment needs to be extracted, then the starting point of W0 can be arbitrary since only relative TS misalignment, i.e., relative measure, is needed compared to the conventional process. FIGS. 4A and 4B schematically illustrate a simulation case based on the test structure of FIGS. 3A and 3B, in accordance with an exemplary embodiment. Specifically, FIG. 4A represents a conventional process window and FIG. 4B represents a TS misalignment. Adverting to FIGS. 4A and 4B, the width of the TS contacts of the n-node 305, e.g., GND 309 or BL 311, have already been modulated as described above, e.g., by increasing the width of TS contacts W0-W3 and by decreasing the width of TS contacts W4-W7. The TS contacts W0-W3 of FIG. 4A appear bright and, therefore, correspond to a TS-PC short, whereas the TS contacts W4-W7 appear dark and, therefore, have no short. For the conventional process, up to i1th order of BVC is visible from the modulated n-node 305 of the test structure 301 and the conventional process window may be determined, for example, by the equation PW = i × Δ   W 2 in the case where W0 starts from the point of just touching adjacent gates 319 (not shown for illustrative convenience). In contrast, the TS contacts W0-W6 of FIG. 4B appear bright and, therefore, correspond to a TS-PC short, whereas the TS contact W7 appears dark and, therefore, has no short. Compared to the conventional process, up to i2th order of BVC is visible from the modulated n-node 305 of the test structure 301. The TS misalignment may be determined, for example, from the equation: Δ TS = ( i 2 - i 1 ) × Δ   W 2 . For determining TS misalignment only relative measure is needed. Consequently, the TS misalignment (W4-W6) may be determined from comparison with the conventional process. FIG. 5 schematically illustrates a test structure for vector-based in-line monitoring and measurement of OVL shift in an X-direction based on contact size modulation, in accordance with another exemplary embodiment. The test device and process flow of FIG. 5 is identical to that of FIG. 3A, except the SC 313 on the left side of the TS contacts of the n-node 303, e.g., GND 309 or BL 311, are disconnected from one of the p-nodes 307, as highlighted by the dashed circle 501, and the SC 313 on the right side of TS contacts on the n-node 305 are disconnected from one of the p-nodes 307, as highlighted by the dashed circle 503. Disconnecting the SC 313 on the left side of the TS contacts on the n-node 303 and the SC 313 on the right side of the TS contacts on the n-node 305 enables a measuring of not only the amount of OVL shift, but also along which direction (+X or −X) the TS misalignment is occurring. Thus, the test device of FIG. 5 yields a vector-based mapping of the OVL shift in an X-direction. FIGS. 6A and 6B schematically illustrate a simulation case based on the test structure of FIG. 5, in accordance with an exemplary embodiment. Adverting to FIG. 6A, as result of disconnecting the SC 313 on the left side of the TS contacts on the n-node 303 and the SC 313 on the right side of the TS contacts on the n-node 305, the TS contacts, e.g., the BL 311 W1 on the n-node 305 and the GND 309 W2 on n-node 303, can only be bright due to a short/shift to the nearby SC 313, e.g., in the +X direction, as depicted by the arrow 601. Thus, a shift direction can be identified by comparing the particular BVC pattern from two sets of alternatively arranged test structures, e.g., n-nodes 303 and 305. Adverting to FIG. 6B, if the OVL shift is along the opposite X-direction, e.g., −X, then the polarity of the pattern will be reversed, as depicted by the arrow 603. FIG. 7 schematically illustrates a test structure for in-line monitoring and measurement of OVL shift in an X-direction based on contact position modulation, in accordance with an exemplary embodiment. From a design point of view, FIG. 7 is similar to the starting point of FIG. 3A before any TS contact width modulation. Rather than modulating the size of the TS contacts as in FIG. 3A or FIG. 5, the design of FIG. 7 enables the modulation of the position of a TS contact wherein SXi=SX0+(i−1)ΔΔSX, while keeping each contact size the same. Adverting to FIG. 7, the TS contacts on the n-node 303 are shifted in one direction, e.g., −X, and the TS contacts on the n-node 305 are shifted in the opposite direction, e.g., +X. The specific direction of the movement of the TS contacts on a particular n-node is not significant as long as one set moves in one direction and the other set moves in the opposite direction. The maximum number of steps is determined by the TS-PC spacing: ATP, i.e., the maximum modulation depth: Δ   TP Δ   SX . The OVL shift can be determined from the order of the TS BVC due to a TS-PC short, and the OVL shift in an X-direction can be determined from which n-node pattern, e.g., n-node 303 or n-node 305, shows dominant BVC. FIG. 8 schematically illustrates a simulation case of the TS misalignment measure from the test structure of FIG. 7, in accordance with an exemplary embodiment. Adverting to FIG. 8, the OVL shift along the X-direction can be monitored and measured by determining, for example, which n-node pattern row shows dominant BVC. In this case, the row corresponding to the n-node 303 shows a dominant BVC pattern, e.g., BL 311 W3, W5, and W7 and GND 309 W4 and W6 all appear bright. In contrast, only BL 311 W7 of the n-node 305 appears bright. Therefore, the dominant pattern indicates an OVL shift along the +X direction, as depicted by the arrow 801. Relative TS misalignment compared to the conventional process is still valid by comparing BVC order from two wafers. FIG. 9 schematically illustrates a test structure for in-line monitoring and measurement of OVL shift in a Y-direction based on contact size modulation, in accordance with an exemplary embodiment. In contrast to FIGS. 3A and 5, the modulation of the one or more TS contacts for Y-shift is only applicable to the GND 309 structures due to their proximity to Vdd 315 on the p-nodes 307, as depicted in FIG. 9. The maximum modulation of GND 309 contact height wherein Hi=H0−(1−1)×ΔH is limited by the GND 309-Vdd 315 spacing along the Y-direction: Δ   GV Δ   H . Similar to modifying the TS contact size in FIGS. 3A and 5 for a short along the X-direction, by continuously modulating the GND 309 contact size, the OVL shift along a Y-direction can be determined. Further, two sets of modulated GND 309 contact patterns can be used to determine the actual Y-direction shift, e.g., +Y or −Y. FIG. 10 schematically illustrates a simulation case of TS misalignment measure from the test structure of FIG. 9, in accordance with an exemplary embodiment. Adverting to FIG. 10, similar to determining the OVL shift along an X-direction in FIG. 8, the OVL shift along a Y-direction can be monitored and measured by determining, for example, which n-node pattern row shows dominant BVC. In this case, the GND 309 W0, W2, and W4 of n-node 303 all appear bright, whereas only the GND 309 W0 of n-node 305 appears bright. Therefore, the dominant n-node pattern indicates an OVL shift along the +Y direction, as depicted by the arrow 1001. In particular, the TS misalignment may be determined from the equation ΔY=(i−1)×ΔH. FIG. 11 schematically illustrates a test structure for in-line monitoring and measurement of OVL shift in a Y-direction based on contact position modulation, in accordance with an exemplary embodiment. Adverting to FIG. 11, similar to FIG. 9, the possible modulation of the TS contacts is again only applicable to GND 309 and the maximum modulation in GND 309 contact height wherein SYi=SY0−(i−1)×ΔSY is limited by the GND 309-Vdd 315 spacing along the Y-direction: Δ   GV Δ   SY . The Y shift is symmetric in both +Y and −Y directions, e.g., one GND pattern shifts in the +Y direction, e.g., the GND 309 of n-node 303, and one GND pattern shifts in the −Y direction, e.g., the GND 309 of n-node 305. Again, the shift direction can be determined from which set of n-node patterns is turned bright from the EBI scan and the shift amount can be determined from the order of the BVC pattern. FIG. 12 schematically illustrates a simulation case of TS misalignment measure from the test structure of FIG. 11, in accordance with an exemplary embodiment. Adverting to FIG. 12, similar to determining the OVL shift along the X-direction in FIG. 8, the OVL shift along a Y-direction can be monitored and measured by determining, for example, which n-node pattern row shows dominant BVC. In this case, the GND 309 W2, W4, and W6 of n-node 303 all appear bright, whereas only the GND 309 W6 of n-node 305 appears bright. Therefore, the dominant n-node pattern indicates an OVL shift along the +Y direction, as depicted by the arrow 1201. Again, the TS misalignment may be determined from the equation ΔY=(i−1)×ΔH. The embodiments of the present disclosure can achieve several technical effects including dual condition physics filtering to effectively separate nuisance and enable detection of ground true electric short defects; enabling in-line detection of TS-PC short through CAC based on a SC design at the TS-CMP layer; eliminating resolution limitations; being fully compatible with a conventional process and, therefore, can represent the real process issue of chip production; and providing real time and reliable characterization beyond OVL control limit. Embodiments of the present disclosure enjoy utility in various industrial applications as, for example, microprocessors, smart phones, mobile phones, cellular handsets, set-top boxes, DVD recorders and players, automotive navigation, printers and peripherals, networking and telecom equipment, gaming systems, and digital cameras. The present disclosure therefore enjoys industrial applicability in any of the 14 nm technology node and beyond. In the preceding description, the present disclosure is described with reference to specifically exemplary embodiments thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the present disclosure, as set forth in the claims. The specification and drawings are, accordingly, to be regarded as illustrative and not as restrictive. It is understood that the present disclosure is capable of using various other combinations and embodiments and is capable of any changes or modifications within the scope of the inventive concept as expressed herein.",G01R312812,G01R3128,20160106,,20170706,71337.0 26,15000348,ACCEPTED,L2/L3 MULTI-MODE SWITCH INCLUDING POLICY PROCESSING,"Methods and apparatus for processing data packets in a computer network are described. One general method includes receiving a data packet; examining the data packet to classify the data packet including classifying the data packet as a L2 or L3 packet and including determining at least one zone associated with the packet; processing the packet in accordance with one or more policies associated with the zone; determining forwarding information associated with the data packet; and if one or more policies permit, forwarding the data packet toward an intended destination using the forwarding information.","1. (canceled) 2. A method for forwarding data packets in a computer network, the method comprising: receiving a data packet; examining the data packet using a processor to classify the data packet including classifying the data packet as a layer 2 (L2) or layer 3 (L3) packet; determining a destination zone, but not a source zone, associated with the classified data packet, wherein the destination zone is associated with at least one policy rule, and wherein the policy includes one or more policy rules that are indexed by the destination zone; performing a policy look-up based on the destination zone to determine one or more policies; processing the classified data packet in accordance with the one or more determined policies including: performing content based pattern matching on the classified data packet; setting up a session if the classified data packet is associated with a new flow; and forwarding or performing other processing on the classified data packet to an intended destination if the determined policies permit based on the destination zone and content based pattern matching, wherein the other processing on the classified data packet includes logging information about the classified data packet, holding the classified data packet, setting an alarm, dropping the classified data packet, modifying the classified data packet, dropping or banning an entire flow associated with the classified data packet, or any combination thereof. 3. The method of claim 2, wherein processing the classified data packet includes processing the classified data packet in accordance with header data. 4. The method of claim 2, wherein processing the classified data packet includes processing the classified data packet based on the content. 5. The method of claim 2, wherein processing the classified data packet includes performing content based protocol decoding on the classified data packet. 6. The method of claim 2, wherein processing the classified data packet includes performing content based object extraction on the classified data packet. 7. The method of claim 2, wherein processing the classified data packet includes performing one or more of content based protocol decoding on the classified data packet, content based object extraction on the classified data packet, and content based pattern matching. 8. The method of claim 2, wherein determining the one or more policies based on the destination zone includes determining the one or more policies based on the destination zone without regard for the source zone. 9. The method of claim 2, wherein processing the classified data packet includes performing content based pattern matching on the classified data packet in accordance with both content and header data. 10. The method of claim 2, wherein processing the classified data packet includes performing content based pattern matching on the classified data packet in accordance with both content and header data including determining one or more content based policies associated with matched packets. 11. The method of claim 2, wherein the content based pattern matching on the classified data packet is performed in accordance with signature data including determining one or more content based policies associated with matched packets. 12. A system for forwarding data packets in a computer network, the method comprising: a processor configured to: receive a data packet; examine the data packet using a processor to classify the data packet including classifying the data packet as a layer 2 (L2) or layer 3 (L3) packet; determine a destination zone, but not a source zone, associated with the classified data packet, wherein the destination zone is associated with at least one policy rule, and wherein the policy includes one or more policy rules that are indexed by the destination zone; perform a policy look-up based on the destination zone to determine one or more policies; process the classified data packet in accordance with the one or more determined policies including: perform content based pattern matching on the classified data packet; set up a session if the classified data packet is associated with a new flow; and forward or perform other processing on the classified data packet to an intended destination if the determined policies permit based on the destination zone and content based pattern matching, wherein the other processing on the classified data packet includes log information about the classified data packet, hold the classified data packet, set an alarm, drop the classified data packet, modify the classified data packet, dropping or ban an entire flow associated with the classified data packet, or any combination thereof; and a memory coupled to the processor and configured to provide the processor with instructions. 13. The system recited in claim 12, wherein process the classified data packet includes process the classified data packet in accordance with header data. 14. The system recited in claim 12, wherein process the classified data packet includes process the classified data packet based on the content. 15. The system recited in claim 12, wherein process the classified data packet includes perform content based protocol decoding on the classified data packet. 16. The system recited in claim 12, wherein process the classified data packet includes perform content based object extraction on the classified data packet. 17. The system recited in claim 12, wherein process the classified data packet includes performing one or more of content based protocol decoding on the classified data packet, content based object extraction on the classified data packet, and content based pattern matching. 18. The system recited in claim 12, wherein determine the one or more policies based on the destination zone includes determine the one or more policies based on the destination zone without regard for the source zone. 19. The system recited in claim 12, wherein process the classified data packet includes perform content based pattern matching on the classified data packet in accordance with both content and header data. 20. The system recited in claim 12, wherein process the classified data packet includes perform content based pattern matching on the classified data packet in accordance with both content and header data including determining one or more content based policies associated with matched packets. 21. The system recited in claim 12, wherein the content based pattern matching on the classified data packet is performed in accordance with signature data including determining one or more content based policies associated with matched packets."," BACKGROUND The present invention relates to methods and apparatus for controlling computer network security. A conventional switch is a multiport network device that can be used to connect elements of a communication network. Fundamentally, the switch operates to provide routing services for transporting packets through the switch on toward a destination. A Layer 2 switch provides Ethernet frame forwarding based completely on a media access control (MAC) addresses contained in each frame and associated with the destination. Accordingly, a conventional Layer 2 switch will provide packet forwarding if the switch knows the destination's location (or based on assumptions of a destination's location). A conventional Layer 2 switch may be programmed with such information or learn dynamically. A MAC table (or L2 Forwarding Table) is the repository of the learned information, allowing for routing decisions to be made based on the destination MAC address to a proper port of the device. In addition to switching, Layer 2 switches can perform access control using, for example, access control lists (ACLs). ACLs can be used to identify frames according to their MAC addresses, VLAN IDs, protocol types (for non-IP frames), IP addresses, protocols, and Layer 4 port numbers. ACLs can be used to stop the forwarding process. As a final matter, Layer 2 switches can include other control lists that can be used to control quality of service (QoS). QoS ACLs can be used to classify incoming frames according to quality of service (QoS) parameters, to police or control the rate of traffic flows, and to mark QoS parameters in outbound frames. A multilayer switch (or one that conforms to the multilayer switching (MLS) protocol) can be used to perform switching at different levels, using two different types of information as a basis for forwarding decisions. One example is a Layer 2/Layer3 (L2/L3) switch. In a conventional L2/L3 switch, each packet is pulled off an ingress queue and inspected for both Layer 2 and Layer 3 destination addresses. The decision where to forward the packet is based on two address tables (an L2 forwarding table and a L3 forwarding table). How or whether to forward the packet is still based on access control list results. For the L2 forwarding decision, the destination MAC address is used as an index to the L2 forwarding table. If the frame contains a Layer 3 packet to be forwarded, the destination MAC address is that of a Layer 3 port on the switch. Similarly, the L3 forwarding table is consulted, using the destination IP address as an index. The longest match in the table is found (both address and mask), and the resulting next-hop Layer 3 address is obtained. The L3 forwarding table can also contain each next-hop entry's Layer 2 MAC address and the egress switch port (and VLAN ID), so that further table lookups are not necessary."," SUMMARY The present invention provides methods and apparatus, including computer program products, for classifying data packets and for implementing computer network security. In one aspect a method includes receiving a data packet, examining the data packet to determine if the packet is a layer 2 or layer 3 packet for forwarding purposes, determining a zone associated with the packet and a security policy (e.g., based on one of the target or source destination), starting a session based on the policy determination and forwarding the packet in accordance with the look-up information. Subsequent packets are then processed in accordance with the security policy, session information and L2/L3 forwarding tables. In another aspect a method for forwarding data packets in a computer network includes receiving a data packet; examining the data packet to classify the data packet including classifying the data packet as a L2 or L3 packet and including determining at least one zone associated with the packet; processing the packet in accordance with one or more policies associated with the zone; determining forwarding information associated with the data packet; and if one or more policies permit, forwarding the data packet toward an intended destination using the forwarding information. Aspects of the invention can include none, one or more of the following features. Determining a zone can include determining a destination zone associated with the data packet. Determining a zone can include determining a source zone associated with the data packet. Processing the packet can include classifying the packet in accordance header data. Processing the packet can include classifying the packet in accordance with signature data. Processing the data packet can include classifying the packet based on the content. Processing the packet can include content based protocol decoding. Processing the packet can include content based object extraction. Processing the packet can include content based pattern matching. Processing the packet can be selected from the group consisting of logging, storing, allowing the packet to pass, setting an alarm, blocking, or dropping the packet. In another aspect a device is provided that can include a multi-mode switch for classifying received data packets as L2 or L3 packets and determining a zone associated with received packets. The device includes an L2 routing table for use in determining a L2 forwarding definition, an L3 routing table for use in determining a L3 routing definition, a policy engine for determining one or more policies associated with received packets based on a zone, a policy set; and a processing engine for processing the received packets in accordance with any associated policies and forwarding received packets in accordance with L2/L3 forwarding/routing definitions. Aspects of the invention can include none, one or more of the following features. The device can include a session engine for determining a session associated with a packet flow. The multi-mode switch can be operable to determine a destination zone associated with a received packet. The multi-mode switch can be operable to determine a source zone associated with a received packet. The device can include a plurality of ingress ports, egress ports and a switch fabric. The details of one or more implementations of the invention are set forth in the accompanying drawings and the description below. Other features and advantages of the invention will become apparent from the description, the drawings, and the claims.","CROSS REFERENCE TO OTHER APPLICATIONS This application is a continuation of co-pending U.S. patent application Ser. No. 14/062,702, entitled L2/L3 MULTI-MODE SWITCH INCLUDING POLICY PROCESSING, filed Oct. 24, 2013, which is a continuation of U.S. patent application Ser. No. 11/734,198, now U.S. Pat. No. 8,594,085, entitled L2/L3 MULTI-MODE SWITCH INCLUDING POLICY PROCESSING, filed Apr. 11, 2007, both of which are incorporated herein by reference for all purposes. BACKGROUND The present invention relates to methods and apparatus for controlling computer network security. A conventional switch is a multiport network device that can be used to connect elements of a communication network. Fundamentally, the switch operates to provide routing services for transporting packets through the switch on toward a destination. A Layer 2 switch provides Ethernet frame forwarding based completely on a media access control (MAC) addresses contained in each frame and associated with the destination. Accordingly, a conventional Layer 2 switch will provide packet forwarding if the switch knows the destination's location (or based on assumptions of a destination's location). A conventional Layer 2 switch may be programmed with such information or learn dynamically. A MAC table (or L2 Forwarding Table) is the repository of the learned information, allowing for routing decisions to be made based on the destination MAC address to a proper port of the device. In addition to switching, Layer 2 switches can perform access control using, for example, access control lists (ACLs). ACLs can be used to identify frames according to their MAC addresses, VLAN IDs, protocol types (for non-IP frames), IP addresses, protocols, and Layer 4 port numbers. ACLs can be used to stop the forwarding process. As a final matter, Layer 2 switches can include other control lists that can be used to control quality of service (QoS). QoS ACLs can be used to classify incoming frames according to quality of service (QoS) parameters, to police or control the rate of traffic flows, and to mark QoS parameters in outbound frames. A multilayer switch (or one that conforms to the multilayer switching (MLS) protocol) can be used to perform switching at different levels, using two different types of information as a basis for forwarding decisions. One example is a Layer 2/Layer3 (L2/L3) switch. In a conventional L2/L3 switch, each packet is pulled off an ingress queue and inspected for both Layer 2 and Layer 3 destination addresses. The decision where to forward the packet is based on two address tables (an L2 forwarding table and a L3 forwarding table). How or whether to forward the packet is still based on access control list results. For the L2 forwarding decision, the destination MAC address is used as an index to the L2 forwarding table. If the frame contains a Layer 3 packet to be forwarded, the destination MAC address is that of a Layer 3 port on the switch. Similarly, the L3 forwarding table is consulted, using the destination IP address as an index. The longest match in the table is found (both address and mask), and the resulting next-hop Layer 3 address is obtained. The L3 forwarding table can also contain each next-hop entry's Layer 2 MAC address and the egress switch port (and VLAN ID), so that further table lookups are not necessary. SUMMARY The present invention provides methods and apparatus, including computer program products, for classifying data packets and for implementing computer network security. In one aspect a method includes receiving a data packet, examining the data packet to determine if the packet is a layer 2 or layer 3 packet for forwarding purposes, determining a zone associated with the packet and a security policy (e.g., based on one of the target or source destination), starting a session based on the policy determination and forwarding the packet in accordance with the look-up information. Subsequent packets are then processed in accordance with the security policy, session information and L2/L3 forwarding tables. In another aspect a method for forwarding data packets in a computer network includes receiving a data packet; examining the data packet to classify the data packet including classifying the data packet as a L2 or L3 packet and including determining at least one zone associated with the packet; processing the packet in accordance with one or more policies associated with the zone; determining forwarding information associated with the data packet; and if one or more policies permit, forwarding the data packet toward an intended destination using the forwarding information. Aspects of the invention can include none, one or more of the following features. Determining a zone can include determining a destination zone associated with the data packet. Determining a zone can include determining a source zone associated with the data packet. Processing the packet can include classifying the packet in accordance header data. Processing the packet can include classifying the packet in accordance with signature data. Processing the data packet can include classifying the packet based on the content. Processing the packet can include content based protocol decoding. Processing the packet can include content based object extraction. Processing the packet can include content based pattern matching. Processing the packet can be selected from the group consisting of logging, storing, allowing the packet to pass, setting an alarm, blocking, or dropping the packet. In another aspect a device is provided that can include a multi-mode switch for classifying received data packets as L2 or L3 packets and determining a zone associated with received packets. The device includes an L2 routing table for use in determining a L2 forwarding definition, an L3 routing table for use in determining a L3 routing definition, a policy engine for determining one or more policies associated with received packets based on a zone, a policy set; and a processing engine for processing the received packets in accordance with any associated policies and forwarding received packets in accordance with L2/L3 forwarding/routing definitions. Aspects of the invention can include none, one or more of the following features. The device can include a session engine for determining a session associated with a packet flow. The multi-mode switch can be operable to determine a destination zone associated with a received packet. The multi-mode switch can be operable to determine a source zone associated with a received packet. The device can include a plurality of ingress ports, egress ports and a switch fabric. The details of one or more implementations of the invention are set forth in the accompanying drawings and the description below. Other features and advantages of the invention will become apparent from the description, the drawings, and the claims. DESCRIPTION OF DRAWINGS FIG. 1 shows a block diagram of a network topology that includes a multi-mode switch. FIG. 2a illustrates a block diagram of a multi-mode switch. FIG. 2b illustrates a detailed block diagram of a processing engine of the multi-mode switch of FIG. 2a. FIG. 3 shows a flow diagram describing the operation of the multi-mode switch. Like reference numbers and designations in the various drawings indicate like elements. DETAILED DESCRIPTION Proposed are systems, apparatus, methods, computer program products and the like for a method for forwarding data packets in a computer network. One example method includes receiving a data packet for forwarding. The data packet is examined to classify the data packet including classifying the data packet as a L2 or L3 packet. The classification includes determining a zone associated with the packet. The zone determination can include only the determination of a destination zone or source zone, or alternatively both can be determined. The data packet is processed in accordance with one or more policies associated with the determined zone(s). Processing can include numerous operations including allowing the packet, dropping the packet, setting an alarm, logging the packet, other actions or combinations of these. Subsequent look-ups are performed including determining forwarding information associated with the data packet, using for example L2 forwarding and L3 routing tables. If the one or more policies permit, the data packet can be forwarded toward an intended destination using the forwarding information. FIG. 1 shows a simple network topology including a local area network (LAN) 100, a server 102, several workstations (W/S) 104, and a multi-mode switching security device (hereinafter simple referred to here as “multi-mode switch”) 106. The network topology is merely representative of one implementation for the use of multi-mode switch 106. Other network topologies are possible including those that include more than one network, wide area network(s), local area networks or combinations of both with or without distributed topologies (e.g., topologies other than client-server topologies). Referring now to FIG. 2a, the multi-mode switch 106 includes switch fabric 50 coupled between ingress and egress queues 52, 54. Ingress queues and egress queues 52, 54 are coupled to ports of the multi-mode switch 106. Multi-mode switch 106 includes a processing engine 60 that is coupled to one or more memory elements 62 for processing received packets. Processing engine 60 can be of the form of hardware or software of combinations of both. Further, though a single processing engine is shown, plural processing engines can be included each performing separate parts of the processes described below. Alternatively, a plurality of similar processing engines can be included that are programmed or configured to execute similar operations. The configuration shown should not be construed as limiting. Memory 62 can include content addressable memory and contain indexes that are used to determine forwarding instructions. Memory 62 includes a L2 forwarding table 70, a L3 routing table 72, policies 74, and session information 76. L2 forwarding table 70 includes forwarding information that is indexed by a MAC destination address. L3 routing table includes forwarding information that is indexed by a destination internet protocol address. Policies 74 include policy rules that are indexed by zone, either destination or source zone. Session information 76 includes flow instructions associated with a session that has been previously characterized and processed by the processing engine 60. Policies and sessions are described in greater detail below. The computer workstations, servers and other devices in the LAN are interconnected using a number of data transmission media such as wire, fiber optics, and radio waves. The multi-mode switch 106 forwards packets through the network as well as monitors packets being communicated within the network to facilitate the blocking of packets associated with an attempted network security intrusion. FIG. 2b shows a block diagram of processing engine 60. In one implementation, processing engine 60 includes an incoming packet interface 205, zone processing block 210, session engine 220, and policy processing engine 230. Processing engine 60 includes an incoming packet interface 205 for receiving packets from the various ingress queues 52. Which queues provide packets and in which order can be controlled by a separate queue management engine (not shown) in accordance with various QoS parameters. The received packets are analyzed by a zone classification block 210 to determine what zone (one or both of a destination and source zone) is/are associated with the given packet. Based on the zone classification, one or more policies can be applied to the processing of the packet. Session engine 220 can be used to allow for the quick processing of packets that have already been classified. Session engine 220 can initially be used to create a session associated with a particular packet flow (e.g., a session associated with a particular flow that has a given destination zone). Thereafter, the session engine 220 can be used to speed the decision process for handling subsequent packets for a same flow. The session engine 220 also includes a flow table 222. The flow table 222 is used to store information regarding flows associated with received packets. Associated with a flow can be one or more rules stored or indicated in the flow table 222. The rules can be used to further process packets associated with a given flow. The flow table 222 includes flow records associated with current TCP/IP flows. A TCP/IP flow includes a sequence of data packets communicating information between a source and a destination in one direction. The flow records can be indexed using an indexing key. The indexing key can be used to store and retrieve the appropriate flow record associated with a received packet. In one implementation, the indexing key can be a hash key and the flow table 222 can be implemented as a hash table. The flow table 222 stores instructions that can be used by one or more modules in the multi-mode switch 106 to further process received packets. The flow record can include flow information. Flow information can be of the form of policy information (firewall policy, IPS policy etc., to apply to the flow) as well as other information that is used by the other modules in the multi-mode switch 106 such as encryption parameters, address translation parameters, bookkeeping information, and statistics. The flow information can also include information required by the session engine 220 in order to decide whether the packet should be allowed. Such information can include information required to implement network policies regarding, for example connection time out, time billing, and bandwidth usage. Policy processing engine 230 operates to apply a policy to a given packet based, for example on information returned by the zone processing block 210 and session engine 220. Policy processing engine 230 has an associated rule set 231 that includes policy information. Rule set 231 can include policy information (firewall policy, IPS policy etc., to apply to the classified packets) as well as other information that is used by the other modules in the security device 106 such as encryption parameters, address translation parameters, bookkeeping information, and statistics. The rule set 231 can also include information required by the multi-mode switch 106 in order to decide whether the packet should be allowed. Such information can include information required to implement network policies regarding, for example connection time out, time billing, and bandwidth usage. Though rule set 231 and flow table 222 are shown as components of processing engine 60, they can be located remotely (e.g., included in memory 62). FIG. 3 is a flow diagram describing the operation 300 of the multi-mode switch 106. Referring now to FIGS. 2a and 2b, incoming packets are received by, for example the packet interface 205 (step 302). Packets can be initially processed (e.g., de-fragmented and headers validated) as required. An initial determination is made as to whether the received packet is a layer 2 or layer 3 packet, e.g., by processing engine 60 (step 304). At least one zone associated with the packet is determined (step 306). In one implementation, the destination zone associated with the packet is determined. Alternatively, both source and destination zones can be determined (e.g., by zone processing block 230). A policy look-up is performed to locate (e.g., in rules set 231) one or more policies associated with the packet based on the zone information (step 308). The policy look-up can be performed by the policy processing engine 230. Packet processing in accordance with the policy can be performed (step 310). Packet processing can include the application of a policy determined in the look-up step. A session can be established for the packet flow (step 312). Finally, the packet can be forwarded or otherwise processed based on the policy processing outcome (step 314). Other processing can include logging particular information regarding the packet, holding the packet, modifying the packet, dropping the packet or banning the entire flow. In one particular implementation, in addition to zone based classification, the packets are classified in accordance with both content and header information, by for example a multi-mode classification engine. Based on the classification, specialized processing can be performed including one or more of content-based protocol decoding, content based object extraction and content based pattern matching. A determination can be made if the packet should be allowed based on information obtained regarding the header (including flow information developed for example in session engine 220), the content or the specialized processing. Packet classification is described in greater detail in co-pending and commonly owned U.S. patent application Ser. No. 11/475,393, entitled “PACKET CLASSIFICATION IN A NETWORK SECURITY DEVICE”, filed Jun. 26, 2006, the contents of which are expressly incorporated herein by reference. The multi-mode switch 106 can be used in a number of different network topologies. The invention can be implemented in digital electronic circuitry, or in computer hardware, firmware, software, or in combinations of them. The invention can be implemented as a computer program product, i.e., a computer program tangibly embodied in an information carrier, e.g., in a machine-readable storage device or in a propagated signal, for execution by, or to control the operation of, data processing apparatus, e.g., a programmable processor, a computer, or multiple computers. A computer program can be written in any form of programming language, including compiled or interpreted languages, and it can be deployed in any form, including as a stand-alone program or as a module, component, subroutine, or other unit suitable for use in a computing environment. A computer program can be deployed to be executed on one computer or on multiple computers at one site or distributed across multiple sites and interconnected by a communication network. Method steps of the invention can be performed by one or more programmable processors executing a computer program to perform functions of the invention by operating on input data and generating output. Method steps can also be performed by, and apparatus of the invention can be implemented as, special purpose logic circuitry, e.g., an FPGA (field programmable gate array) or an ASIC (application-specific integrated circuit). Processors suitable for the execution of a computer program include, by way of example, both general and special purpose microprocessors, and any one or more processors of any kind of digital computer. Generally, a processor will receive instructions and data from a read-only memory or a random access memory or both. The essential elements of a computer are a processor for executing instructions and one or more memory devices for storing instructions and data. Generally, a computer will also include, or be operatively coupled to receive data from or transfer data to, or both, one or more mass storage devices for storing data, e.g., magnetic, magneto-optical disks, or optical disks. Information carriers suitable for embodying computer program instructions and data include all forms of nonvolatile memory, including by way of example semiconductor memory devices, e.g., EPROM, EEPROM, and flash memory devices; magnetic disks, e.g., internal hard disks or removable disks; magneto-optical disks; and CD-ROM and DVD-ROM disks. The processor and the memory can be supplemented by, or incorporated in special purpose logic circuitry. The invention can be implemented in a computing system that includes a back-end component, e.g., as a data server, or that includes a middleware component, e.g., an application server, or that includes a front-end component, e.g., a client computer having a graphical user interface or a Web browser through which a user can interact with an implementation of the invention, or any combination of such back-end, middleware, or front-end components. The components of the system can be interconnected by any form or medium of digital data communication, e.g., a communication network. Examples of communication networks include a local area network (“LAN”) and a wide area network (“WAN”), e.g., the Internet. The computing system can include clients and servers. A client and server are generally remote from each other and typically interact through a communication network. The relationship of client and server arises by virtue of computer programs running on the respective computers and having a client-server relationship to each other. This invention has been described in terms of particular embodiments. Nevertheless, it will be understood that various modifications may be made without departing with the spirit and scope of the invention. For instance, the steps of the invention can be performed in a different order and still achieve desirable results. Accordingly, other embodiments are within the scope of the following claims.",H04L6922,H04L2906,20160119,20171024,20160728,91013.0 27,14993285,PENDING,METHODS AND SYSTEMS FOR REDUCING THE LEVEL OF ONE OR MORE IMPURITIES THAT ARE PRESENT IN A PRETREATED CELLULOSIC MATERIAL AND/OR DISTILLATE,"The present invention relates to methods and systems for remediating one or more impurities (e.g., diacetyl) that are present in manufacturing an alcohol (e.g., ethanol) from cellulosic biomass. The methods and systems include reacting the one or more impurities with at least one treatment compound (e.g., an oxidizing agent, an alkali compound, or a mixture thereof) to form a reaction product that can be separated from the alcohol.","1.-4. (canceled) 5. A method of reducing the concentration of diacetyl that is present in a distillate comprising: providing a pretreated cellulosic material; subjecting the pretreated cellulosic material to a fermentation process to form a fermentation product comprising: an alcohol; and diacetyl; distilling the fermentation product to form a distillate comprising the alcohol and the diacetyl; and contacting the distillate with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl and forming a treated distillate, wherein the at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. 6. The method of claim 5, subjecting the treated distillate to a vaporization process to vaporize at least a portion of the treated distillate to form a liquid fraction and a vapor fraction, wherein the vapor fraction comprises at least a portion of the alcohol and the concentration of the alcohol in the vapor fraction is higher as compared to the concentration of the alcohol in the treated distillate. 7. The method of claim 6, wherein the liquid fraction from the vaporization process comprises at least a portion of the reaction product and the concentration of the reaction product in the liquid fraction is higher as compared to the concentration of the reaction product in the treated distillate. 8. The method of claim 7, wherein the vapor fraction from the vaporization process comprises diacetyl in an amount of 50 parts per million or less. 9. The method of claim 8, wherein the vapor fraction from the vaporization process comprises at least 100 proof ethanol. 10. The method of claim 5, wherein the oxidizing agent comprises hydrogen peroxide. 11. The method of claim 5, wherein the alkali compound comprises sodium hydroxide. 12. The method of claim 11, wherein the distillate is contacted with an amount of sodium hydroxide so that the pH of the distillate is at least 10. 13. The method of claim 12, wherein the distillate is contacted with an amount of sodium hydroxide so that the pH of the distillate is at least 12. 14. The method of claim 5, wherein the distillate comprises ethanol, wherein the diacetyl is present in the distillate at a concentration of at least 50 parts per million, and the distillate is contacted with an amount of sodium hydroxide so that the concentration of diacetyl is reduced to 20 parts per million or less in a time period of twenty minutes or less. 15. The method of claim 5, further comprising exposing the distillate to ultraviolet light to reduce the concentration of the diacetyl, wherein the exposing the distillate to ultraviolet light can occur before, during, or after contacting the distillate with at least one treatment compound. 16.-20. (canceled)"," BACKGROUND Alcohol (e.g., ethanol and/or butanol) and other fermentation products may be produced from grain-based feedstocks (e.g. corn, sorghum/milo, barley, wheat, soybeans, etc.), from sugar (e.g. from sugar cane, sugar beets, etc.), and from biomass (e.g. from cellulosic feedstocks such as switchgrass, corn cobs and stover, wood or other plant material). In a biorefinery configured to produce ethanol from biomass such as cellulosic feedstocks as indicated above, ethanol can be produced from lignocellulosic material (e.g. cellulose and/or hemi-cellulose). The biomass is typically prepared so that sugars in the cellulosic material (such as glucose from the cellulose and xylose from the hemi-cellulose) can be accessed and fermented into a fermentation product that includes ethanol (among other things). The fermentation product can then be transferred to a distillation system, where the ethanol can be recovered by distillation and dehydration. Other bioproducts such as lignin and organic acids may also be recovered as co-products. In addition to generating ethanol (or other desired fermentation product) a number of ancillary chemicals may also be produced during one or more of biomass pretreatment, saccharification, fermentation, or even distillation. Such chemicals include substances such as acetic acid, furfural (furan-2-carbaldehyde), and diacetyl (2,3-butanedione). Some of these compounds may be recovered or recycled, but other compounds require management or remediation in order for the cellulosic biorefinery to operate effectively. In particular, the presence of diacetyl may be particularly problematic as it concentrates along with ethanol during distillation and molecular sieving. Not only can diacetyl cause green coloring of the ethanol to an undue degree (thereby limiting the ethanol's downstream uses), diacetyl can also cause the ethanol to become more acidic over time. For fuel ethanol these are typically undesirable traits and can make remediation of the diacetyl desirable. One common technique for managing undue amounts of diacetyl in cellulosic ethanol includes aging the ethanol so that the diacetyl breaks down naturally. Another common technique includes blending the ethanol/diacetyl mixture with a much larger volume of starch derived ethanol so as to dilute the diacetyl, thereby decreasing the concentration of diacetyl. Unfortunately, as cellulosic ethanol becomes more prevalent, the volumes can reach levels such that storage for long periods to “age” the diacetyl can be uneconomical, and blending may become difficult due to the vast amounts of starch based ethanol required. It would be advantageous to provide for systems and methods for cellulosic fermentation product treatment that can rapidly and economically remediate diacetyl. It would further be advantageous to provide for systems and methods for such treatment that integrates into the functionality of a commercial scale ethanol production facility."," SUMMARY OF THE INVENTION The present invention relates to systems and methods for treating cellulosic fermentation products and/or related distillate compositions in order to reduce one or more undesirable compounds such as diacetyl to a desirable level. The present invention involves contacting a composition that includes ethanol and diacetyl (e.g., a distillate, fermentation product, and the like) with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl. Preferably, at least a portion of the reaction product can then be separated from the ethanol. Advantageously, systems and methods according to the present invention can reduce the concentration of, e.g., diacetyl to a level so that diacetyl does not impact the color and/or pH of the final ethanol product to an undue degree. In addition, systems and methods according to the present invention can reduce the concentration of diacetyl in ethanol in a cost effective and rapid manner. According to one aspect of the present invention, a method of reducing the concentration of diacetyl that is present in a pretreated cellulosic material includes: providing a pretreated cellulosic material that includes at least one monosaccharide and diacetyl; and contacting the pretreated cellulosic material with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl. The at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. According to another aspect of the present invention, a method of reducing the concentration of diacetyl that is present in a distillate includes providing a pretreated cellulosic material; subjecting the pretreated cellulosic material to a fermentation process to form a fermentation product that includes an alcohol and diacetyl; distilling the fermentation product to form a distillate that includes the alcohol and the diacetyl; and contacting the distillate with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl and forming a treated distillate, wherein the at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. According to another aspect of the present invention, a system for reducing the concentration of diacetyl that is present in a pretreated cellulosic material includes: a source of a fermentation product that includes an alcohol and diacetyl; a distillation system in fluid communication with the source of a fermentation product; a source of at least one treatment compound; and a treatment system in fluid communication with the distillation system and the source of at least one treatment compound. The at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. The distillation system can distill the fermentation product to form a distillate that includes the alcohol and the diacetyl. The treatment system causes the least one treatment compound to contact the distillate so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl and forming a treated distillate. In preferred embodiments, the treatment compound includes sodium hydroxide, hydrogen peroxide and mixtures thereof.","RELATED APPLICATION This application is a divisional patent application of nonprovisional patent application Ser. No. 13/917,169, filed Jun. 13, 2013, which claims priority to U.S. Provisional Application Ser. No. 61/660,043, filed Jun. 15, 2012, which applications are incorporated herein by reference in their entireties. FIELD OF THE INVENTION The present invention relates methods and systems for remediating one or more impurities (e.g., diacetyl) that are present in manufacturing an alcohol (e.g., ethanol) from cellulosic biomass. The methods and systems include reacting the one or more impurities with at least one treatment compound (e.g., an oxidizing agent, an alkali compound, or a mixture thereof) to form a reaction product that can be separated from the alcohol. BACKGROUND Alcohol (e.g., ethanol and/or butanol) and other fermentation products may be produced from grain-based feedstocks (e.g. corn, sorghum/milo, barley, wheat, soybeans, etc.), from sugar (e.g. from sugar cane, sugar beets, etc.), and from biomass (e.g. from cellulosic feedstocks such as switchgrass, corn cobs and stover, wood or other plant material). In a biorefinery configured to produce ethanol from biomass such as cellulosic feedstocks as indicated above, ethanol can be produced from lignocellulosic material (e.g. cellulose and/or hemi-cellulose). The biomass is typically prepared so that sugars in the cellulosic material (such as glucose from the cellulose and xylose from the hemi-cellulose) can be accessed and fermented into a fermentation product that includes ethanol (among other things). The fermentation product can then be transferred to a distillation system, where the ethanol can be recovered by distillation and dehydration. Other bioproducts such as lignin and organic acids may also be recovered as co-products. In addition to generating ethanol (or other desired fermentation product) a number of ancillary chemicals may also be produced during one or more of biomass pretreatment, saccharification, fermentation, or even distillation. Such chemicals include substances such as acetic acid, furfural (furan-2-carbaldehyde), and diacetyl (2,3-butanedione). Some of these compounds may be recovered or recycled, but other compounds require management or remediation in order for the cellulosic biorefinery to operate effectively. In particular, the presence of diacetyl may be particularly problematic as it concentrates along with ethanol during distillation and molecular sieving. Not only can diacetyl cause green coloring of the ethanol to an undue degree (thereby limiting the ethanol's downstream uses), diacetyl can also cause the ethanol to become more acidic over time. For fuel ethanol these are typically undesirable traits and can make remediation of the diacetyl desirable. One common technique for managing undue amounts of diacetyl in cellulosic ethanol includes aging the ethanol so that the diacetyl breaks down naturally. Another common technique includes blending the ethanol/diacetyl mixture with a much larger volume of starch derived ethanol so as to dilute the diacetyl, thereby decreasing the concentration of diacetyl. Unfortunately, as cellulosic ethanol becomes more prevalent, the volumes can reach levels such that storage for long periods to “age” the diacetyl can be uneconomical, and blending may become difficult due to the vast amounts of starch based ethanol required. It would be advantageous to provide for systems and methods for cellulosic fermentation product treatment that can rapidly and economically remediate diacetyl. It would further be advantageous to provide for systems and methods for such treatment that integrates into the functionality of a commercial scale ethanol production facility. SUMMARY OF THE INVENTION The present invention relates to systems and methods for treating cellulosic fermentation products and/or related distillate compositions in order to reduce one or more undesirable compounds such as diacetyl to a desirable level. The present invention involves contacting a composition that includes ethanol and diacetyl (e.g., a distillate, fermentation product, and the like) with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl. Preferably, at least a portion of the reaction product can then be separated from the ethanol. Advantageously, systems and methods according to the present invention can reduce the concentration of, e.g., diacetyl to a level so that diacetyl does not impact the color and/or pH of the final ethanol product to an undue degree. In addition, systems and methods according to the present invention can reduce the concentration of diacetyl in ethanol in a cost effective and rapid manner. According to one aspect of the present invention, a method of reducing the concentration of diacetyl that is present in a pretreated cellulosic material includes: providing a pretreated cellulosic material that includes at least one monosaccharide and diacetyl; and contacting the pretreated cellulosic material with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl. The at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. According to another aspect of the present invention, a method of reducing the concentration of diacetyl that is present in a distillate includes providing a pretreated cellulosic material; subjecting the pretreated cellulosic material to a fermentation process to form a fermentation product that includes an alcohol and diacetyl; distilling the fermentation product to form a distillate that includes the alcohol and the diacetyl; and contacting the distillate with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl and forming a treated distillate, wherein the at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. According to another aspect of the present invention, a system for reducing the concentration of diacetyl that is present in a pretreated cellulosic material includes: a source of a fermentation product that includes an alcohol and diacetyl; a distillation system in fluid communication with the source of a fermentation product; a source of at least one treatment compound; and a treatment system in fluid communication with the distillation system and the source of at least one treatment compound. The at least one treatment compound is chosen from an oxidizing agent, an alkali compound, and mixtures thereof. The distillation system can distill the fermentation product to form a distillate that includes the alcohol and the diacetyl. The treatment system causes the least one treatment compound to contact the distillate so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl and forming a treated distillate. In preferred embodiments, the treatment compound includes sodium hydroxide, hydrogen peroxide and mixtures thereof. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1A is a perspective view of a biorefinery comprising an ethanol production facility, in accordance with some embodiments. FIG. 1B is another perspective view of a biorefinery comprising an ethanol production facility, in accordance with some embodiments. FIG. 2 is a process flow diagram illustrating the preparation of biomass, in accordance with some embodiments. FIGS. 3A to 3C are process flow diagrams illustrating examples of ethanol production processes from biomass to ethanol, in accordance with some embodiments. FIG. 4 is a process flow diagram illustrating the remediation treatment process, in accordance with some embodiments. FIG. 5 shows a graph of diacetyl concentration over time in peroxide treated samples according to Example 4. FIG. 6 shows a graph of diacetyl concentration over time in alkali treated samples according to Example 4. FIG. 7 shows a graph of diacetyl concentration over time in peroxide and alkali treated samples according to Example 4. FIG. 8 shows a graph of diacetyl concentration over time in peroxide treated samples when exposed to light or darkness in accordance with Example 5. FIG. 9 shows a graph of diacetyl concentration over various fermentation batches according to Example 6. FIG. 10 shows a graph of pH of ethanol dependent upon alkali treated samples according to Example 6. FIG. 11 shows a graph of pH of ethanol dependent upon alkali treated composite samples according to Example 6. FIG. 12 shows a graph of diacetyl concentration dependent upon pH according to Example 6. FIG. 13 shows a graph of diacetyl concentration dependent upon pH for composite samples according to Example 6. FIG. 14 shows a graph of pH of ethanol dependent upon alkali treatment for acetic acid buffered samples according to Example 6. FIG. 15 shows a graph of diacetyl concentration for untreated samples and treated distillate according to Example 6. FIG. 16 shows a graph of acetic acid concentration of the feed, concentrate and distillate over time according to Example 6. DETAILED DESCRIPTION The present invention will now be further described with reference to exemplary embodiments. In the following description, numerous specific details are set forth in order to provide a thorough understanding of embodiments of the present invention. It will be apparent, however, to one skilled in the art, that embodiments may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to facilitate explaining the present invention. The features and advantages of embodiments may be better understood with reference to the drawings and discussions that follow. The present invention relates to systems and methods to reduce the concentration of one or more undesirable components that are generated during at least one of pretreating, saccharification, or fermentation of cellulosic biomass material, or distillation of fermented cellulosic biomass material. Cellulosic biomass material is well-known and includes polysaccharides such as cellulose and/or hemicellulose. Exemplary cellulosic feedstock for use in the present invention includes one or more of wood material, switch grass, agricultural waste, municipal waste, bagasse, etc. In some embodiments, a preferred cellulosic biomass material includes material from the corn plant, such as corn cobs, corn plant husks and corn plant leaves and corn stalks (e.g. at least upper half or three-quarters portion of the stalk) (also referred to as corn stover). For example, the corn plant material may include any of (by weight) up to 100 percent cobs, up to 100 percent husks/leaves, approximately 50 percent cobs and approximately 50 percent husks/leaves, approximately 30 percent cobs and approximately 50 percent husks/leaves and approximately 20 percent stalks, or any of a wide variety of other combinations of cobs, husks/leaves and stalks from the corn plant. According to some embodiments, the lignocellulosic plant material of the biomass (from the corn plant) can include (by weight) cellulose at about 30 to 55 percent, hemicellulose at about 20 to 50 percent, and lignin at about 10 to 25 percent. According to alternative embodiments, the lignocellulosic plant material may include fiber from the corn kernel (e.g. in some combination with other plant material). According to one preferred embodiment, the biomass may include at least 20 to 30 percent corn cobs (by weight) with corn stover and other matter. The cellulosic biomass material is preferably selected to convert one or more polysaccharides such as hemicellulose or cellulose into one or more monosaccharides such as pentose (e.g., xylose) or hexose (e.g., glucose), which can be used to generate one or more fermentation products. Exemplary fermentation products include alcohol (e.g., ethanol, butanol, and the like) due to the utility of alcohol as a fuel. However, any fermentation product resulting from the conversion of cellulosic materials into sugars and biological conversion is considered within the scope of this disclosure. Biorefinery plant facilities for producing alcohol from biomass are well known. Briefly, exemplary biorefinery plant facilities are described herein in connection with FIGS. 1A and 1B. FIG. 1A shows biorefinery 100 that includes an ethanol production facility configured to produce ethanol from biomass. The exemplary biorefinery 100 includes an area 102 where biomass is delivered and prepared to be supplied to the ethanol production facility. The cellulosic ethanol production facility 100 includes equipment for preparation 102, pre-treatment 104 and conversion of the biomass into material (e.g., sugars) suitable for fermentation into one or more fermentation products in a fermentation system 106. The cellulosic ethanol production facility 100 includes a distillation system 108 in which the fermentation product is distilled and dehydrated into ethanol. As shown in FIG. 1A, a waste treatment system 110 includes an anaerobic digester and a generator. Waste treatment system 110 can include additional equipment configured to treat, process, and recover components from the cellulosic ethanol production process, such as a solid/waste fuel boiler, anaerobic digester, or other biochemical or chemical reactors. FIG. 1B illustrates that a biorefinery 112 may include a cellulosic ethanol production facility 114 (which produces ethanol from lignocellulosic material and components of the corn plant) co-located with a corn-based ethanol production facility 116 (which produces ethanol from starch contained in the endosperm component of the corn kernel). As indicated in FIG. 1B, by co-locating the two ethanol production facilities, certain plant systems may be shared, for example, systems for dehydration, storage, denaturing and transportation of ethanol, energy/fuel-to-energy generation systems, plant management and control systems, and other systems. Corn fiber (a component of the corn kernel), which can be made available when the corn kernel is prepared for milling (e.g. by fractionation) in the corn-based ethanol production facility, may be supplied to the cellulosic ethanol production facility as a feedstock. Fuel or energy sources such as methane or lignin from the cellulosic ethanol production facility may be used to supply power to either or both co-located facilities. According to other alternative embodiments, a biorefinery (e.g. a cellulosic ethanol production facility) may be co-located with other types of plants and facilities, for example an electric power plant, a waste treatment facility, a lumber mill, a paper plant, or a facility that processes agricultural products. FIG. 2 illustrates an exemplary system 200 for preparation of biomass delivered to a biorefinery 100. The biomass preparation system 200 may include equipment for receiving/unloading the biomass, cleaning (e.g. removal of foreign matter), grinding (e.g. milling, reduction or densification), and transport and conveyance for processing at the plant. According to an exemplary embodiment, biomass in the form of corn cobs and stover may be delivered to the biorefinery and stored 202 (e.g. in bales, piles or bins, etc.) and managed for use at the facility. As shown, system 200 also includes preparation system 204, which is configured to prepare any of a wide variety of types of biomass (e.g. plant material) for treatment and processing into ethanol and other bioproducts at the plant. FIGS. 3A to 3C illustrate exemplary process flow diagrams 300a, 300b and 300c for processing biomass that has been prepared, e.g., as described above with respect to FIG. 2. The process flow diagrams shown in FIGS. 3A to 3C illustrate pretreating, fermenting, distillation, and treatment of the distillate from distillation. An example of a treatment system for treating distillate to reduce the concentration of diacetyl according to the present invention is described in more detail below in connection with FIG. 4. As shown in FIGS. 3A to 3C, after preparing the biomass, e.g., in system 200, the biomass is mixed with water into a slurry and is pre-treated via a pre-treatment system 302. In the pre-treatment system 302, the biomass can be at least partially broken down (e.g. by hydrolysis) into one ore more oligosaccharides and/or monosaccharides (e.g., pentoses (C5 sugars) and/or hexoses (C6 sugars)). Exemplary monosaccharides include xylose and glucose. Pretreatment may include the addition of one more chemicals (e.g., an acid) to promote hydrolysis of hemicelluose and/or cellulose in the biomass so as to generate one or more oligosaccharides and/or monosaccharides. Such pretreatment of cellulosic biomass is well-known and is disclosed in, e.g., U.S. Pat. No. 5,424,417 (Torget et al.) and U.S. Pat. No. 6,022,419 (Torget et al.), wherein the entireties of said documents are incorporated herein by reference for all purposes. After pretreatment 302, as shown in FIGS. 3A-3C, separation system 304 can separate a liquid fraction (e.g. a stream including C5 sugars, known as pentose liquor) and a solids fraction (e.g. a stream including cellulose from which the C6 sugars can be made available via solids disruption and/or enzymatic hydrolysis (discussed below)). As shown in FIG. 3A, the solids in the solid stream from separation system 304 are disrupted via a disruption system 310 to make the solids more accessible to enzymes during enzymatic hydrolysis of the solids to generate one or more C6 sugars from cellulose. Techniques for disrupting biomass solids to increase accessibility during enzymatic hydrolysis are well-known and include mechanical disruption, sonic disruption, and/or steam explosion. The C5-sugar-containing liquid component (C5 stream or pentose liquor) from separation system 304 may be returned to a joint enzyme hydrolysis system 312 which may enzymatically generate sugars from a combined solids and liquids stream. Subsequently, the slurry from system 312 may enter a fermentation system 318 so that at least one of an oligosaccharide and/or a monosaccharide in the pretreated cellulosic material can be fermented to generate a fermentation product that includes an alcohol and diacetyl. After fermentation in system 318, the fermentation product can be distilled in distillation system 320 to form a distillate that includes an alcohol (e.g., ethanol) and diacetyl. The distillate may also include lignin stillage. As shown in FIG. 3A, the ethanol from distillation system 320 can be processed by a treatment system 330 according to the present invention for remediation of one or more undesired components (e.g., reduce the concentration of diacetyl in the ethanol). After separation system 304 in each of FIGS. 3B and 3C, the C5-sugar-containing liquid component (C5 stream or pentose liquor) may be treated in a pentose cleanup treatment system 306. During treatment of the C5 and/or C6 stream, components may be processed to recovered byproducts, such as organic acids and lignin. The C6-sugar-containing pretreated solids component may be treated in a solids treatment system 308 using enzyme hydrolysis to generate sugars. In some embodiments, the solids component may be treated in an effort to remove lignin and other non-fermentable components in the C6 stream (or to remove components such as residual acid or acids that may be inhibitory to efficient fermentation) in addition to hydrolyzing (such as enzyme hydrolysis) the solids component to access the C6 sugars in the cellulose. Optionally, enzyme hydrolysis efficiency may be increased through the addition of an agent. Such agents may include anaerobic membrane digester effluent, clarified thin stillage, wet cake, whole stillage, other viable protein source, or combinations thereof. Optionally, the hexose sugars generated at enzyme hydrolysis system 308 may also be treated in a manner similar to pentose treatment system 306 via a hexose treatment system (not shown). The removed components during treatment and production of ethanol from the biomass from either or both the C5 stream and the C6 stream (or at distillation) can be treated or processed into bioproducts or into fuel (such as lignin for a solid fuel boiler or methane produced by treatment of residual/removed matter such as acids and lignin in an anaerobic digester) or recovered for use or reuse. In accordance with the embodiment shown in FIG. 3B, the resulting treated pentose liquor from treatment system 306 and the hexose sugar from enzyme hydrolysis system 308 can be combined for co-fermentation in a fermentation system 318 to generate a fermentation that includes an alcohol (e.g., ethanol) and optionally one or more impurities such as diacetyl. Typically, a fermenting organism (ethanologen) is used in the fermentation system 318. The selection of an ethanologen may be based on various considerations, such as the predominant types of sugars supplied to fermentation system 318. As shown in FIG. 3B, the fermentation product from the fermentation system 318 is supplied to a distillation system 320 where the alcohol such as ethanol is recovered. Dehydration and/or denaturing of the ethanol produced from the C5 stream and the C6 stream may be performed either separately or in combination. As described with respect to FIG. 3A, the ethanol from distillation system 320 can be processed by a treatment system 330 according to the present invention for the remediation of one or more undesired components (e.g., diacetyl). Also, any stillage from the distillation system 320 may then be treated at a lignin separation system (not shown) to generate a liquid component and a solid wet cake. The wet cake may then be supplied to an Anaerobic Membrane Bioreactor (AnMBR) for further treatment, in some embodiments. In accordance with the embodiment shown in FIG. 3C, the treated pentose liquor from treatment system 306 may be fermented in a pentose fermentation system 322, and the fermentation product from fermentation system 322 may be supplied to a pentose distillation system 324 for ethanol recovery. Likewise, the pretreated solids from separation system 304 may be supplied to enzyme hydrolysis system 308 to generate hexose sugars. The hexose sugars can be provided to a hexose fermentation system 326 to generate a fermentation product. The fermentation product from system 326 can be supplied to a hexose distillation system 328 for ethanol recovery. The ethanol from the pentose distillation system 324 and the hexose distillation system 328 can be processed by a treatment system 330 according to the present invention for the remediation of one or more undesired components (e.g., diacetyl). Also, any stillage from the distillation system 324 and/or distillation system 328 may then be treated at a lignin separation system (not shown) to generate a liquid component and a solid wet cake. The wet cake may then be supplied to an Anaerobic Membrane Bioreactor (AnMBR) for further treatment, in some embodiments. The present invention relates to systems and methods to reduce the concentration of one or more undesirable components (also referred to herein as “remediation” of one or more undesirable components) that are generated during at least one of pretreating, saccharification, or fermentation of cellulosic biomass material, or distillation of fermented cellulosic biomass material. In some embodiments, the undesired component includes diacetyl (2,3-butanedione). This is due at least in part to the impact that diacetyl can have on the color and/or pH of the quality of the final ethanol fuel product generated in a cellulosic ethanol plant. It is considered within the scope of this disclosure that additional undesirable components may also be remediated through the systems and methods disclosed herein. As such, no undue limitations should be placed upon components being remediated. Accordingly, in some embodiments, a method according to the present invention includes reducing the concentration of diacetyl that is present in a pretreated cellulosic material and/or distillate by contacting the pretreated cellulosic material and/or distillate with at least one treatment compound so that the at least one treatment compound reacts with the diacetyl to form a reaction product thereby reducing the concentration of the diacetyl. The reaction of the diacetyl with the treatment compound can convert the diacetyl (boiling point 88° C.) into a relatively less volatile reaction product such that a mixture of the ethanol and the reaction product can be subjected to a separation process that takes advantage of the lower boiling point of the reaction product thereby facilitating the purification of the ethanol with respect to the impurity diacetyl (or the reaction product thereof). One or more treatment compounds can be selected so as to react with an impurity such as diacetyl and form a reaction product so as to reduce the concentration of the diacetyl. Preferably, the one or more treatment compounds are selected so that the reaction product is readily separated from the pretreated cellulosic material and/or distillate (and ultimately the alcohol such as ethanol). In some embodiments, the treatment compounds includes an oxidizing agent, an alkali compound, and mixtures thereof. In some embodiments, the oxidizing agent includes hydrogen peroxide. In some embodiments, the alkali compound includes sodium hydroxide. In some embodiments, the concentration of diacetyl is reduced so that the color and/or pH are within one or more specifications for selling ethanol as a fuel. For example, preferably the diacetyl concentration is decreased so that the treated ethanol product from distillation is within product color specifications for selling ethanol as a fuel (i.e., is not green in color to an undue degree). Diacetyl can cause ethanol to be green to an undue degree at even 20-30 ppm in some instances. In some embodiments according to the present invention, the color of the ethanol after remediation according to the present invention is clear and bright. Typically, the ethanol is separated from the reaction product via, e.g., a re-vaporization process before the ethanol comes within final color specifications. For example, when sodium hydroxide is added to a ethanol/diacetyl mixture the color changes from a yellow-green (color of ethanol/diacetyl mixture) to a dark yellow-orange due to the reaction between sodium hydroxide and diacetyl. With respect to pH, diacetyl can cause ethanol to be out of specification for sale as fuel because diacetyl can degrade into acid. The stoichiometric degradation of 1.0 ppm diacetyl yields 1.4 ppm acetic acid. Remediation of diacetyl according to the present invention preferably causes an increase in pH of the ethanol product to be sold as fuel so that the ethanol product is within one or more specifications for the sale of the ethanol product as fuel. For example, preferably the pretreated cellulosic material and/or distillate is contacted with an amount of an alkali compound (e.g., sodium hydroxide) so that the pH of the pretreated cellulosic material and/or distillate is at least 10, even more preferably at least 12. In terms of the concentration level of diacetyl, the concentration of diacetyl in the final ethanol product (e.g., after re-vaporization discussed below) is preferably in an amount of 100 parts per million or less, 50 parts per million or less, 20 parts per million or less, or preferably even 10 parts per million or less. At least one advantage of the remediation techniques of the present invention is the relative decrease in time period required to remediate a given amount of diacetyl as compared to “aging” a mixture for the diacetyl to break down. In some embodiments, wherein the concentration of diacetyl in the distillate can be reduced from at least 50, at least 100, or even at least 200 parts per million to 40, 20, 10, or even 5 parts per million or less in a time period of 60, 30, 20, or even 10 minutes or less. Optionally, a method of remediation according to the present invention can include exposing pretreated cellulosic material and/or distillate to ultraviolet radiation to degrade one or more impurities and thereby reduce the concentration thereof of such impurities. The material to be remediated can be exposed to ultraviolet light at any time such as before, during, and/or after contacting the material with at least one treatment compound as described herein. After the at least one treatment compound reacts with the diacetyl to form a reaction product, at least a portion of the reaction product and/or at least a portion of any residual diacetyl can be separated from the ethanol so as to increase the concentration of the ethanol. Preferably, after separating at least a portion of the reaction product and/or at least a portion of any residual diacetyl from the ethanol, the ethanol satisfies one or more specifications with respect to at least diacetyl and/or pH for selling ethanol as fuel. The reaction product and/or any residual diacetyl can be separated from the alcohol by any technique. An exemplary separation technique includes vaporizing (also referred to as “re-vaporizing” in the context of occurring after distillation of a fermentation product) a mixture (e.g., a distillate) including at least alcohol, the reaction product of diacetyl and at least one treatment compound, and any residual diacetyl. Vaporizing is preferably performed under conditions to form a liquid fraction and a vapor fraction, where the vapor fraction includes at least a portion of the alcohol and the concentration of the alcohol in the vapor fraction is higher as compared to the concentration of the alcohol in the initial mixture (e.g., the distillate). In some embodiments, the vapor fraction from the vaporization process includes at least 100 proof ethanol, preferably at least 120 proof ethanol, and even preferably at least 150 proof ethanol. Also, the liquid fraction from the vaporization process preferably includes at least a portion of the reaction product and the concentration of the reaction product in the liquid fraction is higher as compared to the concentration of the reaction product in the initial mixture (e.g., the distillate). FIG. 4 illustrates an exemplary system for reducing the concentration of diacetyl that is present in a pretreated cellulosic material. The individual equipment/components described in connection with FIG. 4 are well-known and commercially available. As shown, a rectifier 402 is illustrated that delivers a mixture 190 proof ethanol vapor and relatively high levels of the diacetyl to condenser 404 where the mixture is condensed. The resulting condensed ethanol solution is collected in a rundown tank 406. Optionally, a portion of the ethanol collected in the rundown tank can be returned to the rectifier 402 as a reflux (not shown). The remaining ethanol/diacetyl solution is mixed with a treatment compound prior to being supplied to a vaporizer 410. A treatment pump 408 drives the treatment compound and ensures accurate dosing. In the vaporizer 410 the mixed liquid is heated. The resulting vapor is supplied to a superheater 412 which results in a 190 proof ethanol vapor stream that has virtually all the undesirable compounds (e.g., diacetyl) removed. A purge stream from the vaporizer 410 is supplied back to the rectifier 402. EXAMPLES Example 1 The first example proceeded using a 7% H2O2 solution that was used for diacetyl mitigation during a batch distillation run. A known volume of cellulosic ethanol was heated to 60° C. then treated with 10% by volume of a 7% H2O2 solution. The ethanol was kept at 60° C. with constant stirring after the treatment and samples were taken for HPLC analysis following the treatment at 0 hour, 1 hour and 2 hour; then the sample was distilled. The results show a 63% reduction in diacetyl immediately following the treatment with a 91% reduction at one hour post treatment. The HPLC results are shown below in Table 1. TABLE 1 Reduction H202 Mitigation - Acetic of 10% H2O2 Acid Ethanol Diacetyl Diacetyl (7% H2O2) ppm % v/v ppm Color % 100 proof 27 56 123 slight green Mitigation-0 hr @60 C. 299 53 45 clear 64 Mitigation-1 hr @60 C. 203 53 12 clear 91 Mitigation-2 hr @60 C. 168 53 ND clear 100 Distillate ND 100 ND clear 100 Still Bottoms 181 47 ND clear 100 Example 2 The second example proceeded using a 1.0N solution of sodium hydroxide (NaOH). A known volume of cellulosic ethanol was heated to 60° C., treated with 0.2% by volume of a 1.0N NaOH solution, and then distilled. Duplicate test results are shown in Table 2 below. The distillate and the still bottoms are within industry specifications. TABLE 2 NaOH Mitigation-0.2% NaOh (1.0N) Acetic Sample Acid Ethanol Diacetyl Description ppm % v/v ppm Color pH pHe Feed-100 proof 34 50 102 slight 4.3 green 0.2% NaOh 60 50 ND clear 11.4 (1.0N) Distillate ND 101 33 clear 7.3 Still Bottoms 107 29 ND orange- 7.5 brown Feed-100 proof 25 52 100 slight 4.4 green 0.2% NaOH 53 52 ND clear 11.5 (1.ON) Distillate ND 95 33 clear 8.3 Still Bottoms 119 30 ND orange- 8.1 brown Example 3 A mitigation strategy to treat the cellulosic ethanol with a combination of H2O2 and NaOH was devised. Based on previous results for hydrogen peroxide and sodium hydroxide mitigation, several tests were conducted to determine the optimum dosage of both. Table 3 shows the results for a mitigation treatment of 1% by volume of a 7% H2O2 solution followed by 0.01% by volume of a 50% w/w NaOH solution. The results show that diacetyl is converted to acetic acid and the distillate color is clear. TABLE 3 H2O2 + NaOH Mitigation- 1% H2O2 (7%) + 0.01% NaOH (50%) Acetic Sample Mass Acid Ethanol Diacetyl Description (g) ppm % v/v ppm Color pH Feed-100 proof 44 51 83 slight 4.45 green 1% H2O2 (7%) + 462.7 195 51 ND clear 6.8 0.01% NaOH (50%) Distillate 134.9 ND 101 ND clear 9.45 Still Bottoms 321.2 237 26 ND clear 5.08 Several batch distillations were completed to determine alternate treatments that remove the diacetyl but maintains the ethanol in a more desirable pHe. The test results are displayed in Table 4 for the mitigation treatment of 2% by volume of a 7% H2O2 solution followed by 0.2% by volume of a 1.0N NaOH solution. The distillate was clear in color, the HPLC results confirm there was no diacetyl and the pH is lower. TABLE 4 H2O2 + NaOH Mitigation - 2.0% H2O2 (7% H2O2) + 0.2% NaOH (1.0N) in 200 Bell ethanol diuted to 100 proof Ace- Distillations tic Mass Acid Ethanol Diacetyl Fraction # (g) ppm % v/v ppm Color pH pHe Feed- 25 51 100 slight green 4.42 100 proof 2% 68 50 59 slight green 4.23 H2O2 (7%) 0.2% 462.5 143 50 ND clear 6.52 NaOH (1.0N) Distillate 139.1 ND 99 ND clear 7.64 Still 319.1 257 25 BDL clear 4.88 Bottoms Feed- 32 51 102 slight green 4.43 100 proof 2% 131 50 35 slight green 4.23 H2O2 (7%) 0.2% 463.0 149 50 ND clear 6.54 NaOH (1.0N) Distillate 131.5 ND 99 ND clear 8.06 Still 324.1 228 26 BDL clear 5.02 Bottoms Feed- 23 51 97 slight green 4.41 100 proof 2% 88 50 54 slight green 4.26 H2O2 (7%) 0.2% 470.6 146 50 ND clear 6.53 NaOH (1.0N) Distillate 129.3 ND 101 ND clear 7.10 Still 323.4 246 25 ND clear 4.84 Bottoms Example 4 An example was performed at elevated temperature. A sample of cellulosic 100 proof ethanol was subjected to the mitigation treatments of 10% and 5% by volume of a 7% H2O2 solution, 0.1% by volume of a 50% w/w NaOH solution, 0.2% by volume of a 1N NaOH solution, and 2% by volume of a 7% H2O2 solution followed by 0.2% by volume of a 1N NaOH solution. The samples were placed into a 60° C. water bath immediately after being dosed with the treatment at time zero. Samples were removed from the heated water bath and placed into an ice bath at set time points, and then analyzed by HPLC. Samples that were treated with stronger doses of sodium hydroxide turned yellow-orange immediately after addition, and darkened to a pink color after time at the elevated temperature. When left at room temperature overnight, all samples had changed to the same pink color. Lower doses of sodium hydroxide resulted in less color, and the combination treatment of hydrogen peroxide and sodium hydroxide showed no color change. FIGS. 5-7 illustrate the results of this example study. In FIG. 5, the samples treated with H2O2 are illustrated as the diacetyl concentration over time (at 500). Diacetyl levels decrease over time and reaches very low levels at approximately 60 minutes. In FIG. 6, the samples treated with NaOH are illustrated as the diacetyl concentration over time (at 600). Here the diacetyl decreases almost instantaneously after caustic application. A similar response is seen in FIG. 7, where samples treated with NaOH and H2O2 are illustrated (at 700). Example 5 A further example for mitigation treatments illustrates that that exposure to light also reduces diacetyl in cellulosic ethanol. Samples of 200 proof cellulosic ethanol were tested under three different conditions. The first was placed in a capped glass jar that was placed in the hood under light 24/7, the second was treated with 0.1% by volume of a 7% H2O2 solution (one dose at time zero only) and stored in the hood under light 24/7, and the third was treated with 0.1% by volume of a 7% H2O2 solution then placed in the dark. Aliquots of each sample were taken periodically and analyzed on the HPLC. FIG. 8 shows the trend for the diacetyl reduction in these three conditions. The samples treated with peroxide showed an initial reduction more pronounced than the sample not treated with peroxide, however light also affect the speed of diacetyl reduction. The sample stored in the dark showed the slowest reduction over time. Example 6 The diacetyl mitigation process was also demonstrated in a larger pilot plant. FIG. 9 describes the diacetyl concentration in the 190 proof samples collected after distilling five fermentation batches. The concentration of diacetyl in the distilled 190 proof ranged from 60 ppm to 126 ppm and averaged 90 ppm. Among other final product specifications affected by the presence and composition of diacetyl, color is the most prominent. The visible color threshold in this example is shown to be around 20-30 ppm diacetyl. Grab samples were collected for each of five fermentation batches through distillation, as well as two composite samples. The ethanol concentration of the five fermentation batches averaged 89.96% (180 proof), while composite samples at 24 hours and 48 hours averaged 83.57%. The difference in ethanol concentration is presumably from startup and shutdown procedures for distillation that allow more water to stay in vapor form. The pHe of the ethanol samples decreased as acetic acid concentration increased over time in storage as a result of diacetyl degradation. The acetic acid formed from diacetyl significantly increases the amount of NaOH required for mitigation. FIG. 10 describes the effect of NaOH on the pHe of the batch grab samples of 190 proof ethanol. FIG. 11 shows the NaOH and pHe relationship for the 24 and 48-hour composite samples, overlaid with the grab samples. From the data presented in FIG. 10, a significant increase in pHe was observed between 0.08 g/L and 0.12 g/L NaOH for individual grab samples. However, FIG. 11 shows the NaOH dose required to reach target pHe is increased to 0.22 g/L NaOH in the composite 190 proof samples due to the presence of acetic acid. FIG. 12 details the effect of pHe on diacetyl concentration for the grab and 24-hour composite 190 proof samples. From this figure, a pHe greater than 12.5, in general, reduced diacetyl concentrations to less than 10 ppm, with complete diacetyl destruction occurring after the pHe reached 13.0 for all samples analyzed. The 190 proof grab samples required between 0.10 and 0.14 g/L NaOH to achieve a diacetyl concentration below detectable limits. However, the 24 and 48-hour composite samples required 0.22 and 0.27 g/L NaOH, respectively, to reach 13.0 pHe for complete diacetyl mitigation. Using the 48-hour composite titration result from FIG. 11, including an additional 20% safety factor, the dosing requirement to reach 13.0 pHe for pilot scale mitigation was determined to be 0.35 g/L NaOH. FIG. 13 compares the composite 190 proof ethanol samples. The 24-hour composite sample was processed on the HPLC immediately following NaOH titration. The resulting pHe vs. diacetyl curve closely matches the grab samples in that there was a definitive break in pHe value before a reduction in diacetyl concentration was observed. The 48-hour pHe vs. diacetyl curve was affected by increased reaction time between titration with NaOH and HPLC analysis. The principle difference between the composite and grab samples was the presence of acetic acid. No acetic acid was detected in the grab samples, while the 24 and 48-hour composite samples contained 27 ppm and 52 ppm acetic acid, respectively. The samples of 190 proof starch ethanol containing 0 and 27.9 ppm acetic acid were titrated and the results are presented in FIG. 14 which shows the dosage of NaOH required to adjust the samples to a pHe of 13.0. The sample containing no acetic acid required only 0.06 g/L NaOH to reach the desired pHe of 13.0. However, the sample containing 30 ppm acetic acid significantly increased the buffering capacity of the ethanol, requiring nearly 0.13 g/L NaOH. This acetic acid buffering effect directly influences the amount of NaOH required for dosing. If the acetic acid concentration present in the 190 proof cellulosic ethanol can be held to a minimum, the NaOH dose required for ethanol is reduced from 0.35 g/L to 0.14 g/L NaOH (including the 20% safety factor). Lastly, in order to more accurately reflect commercial scale remedial systems, an example is provided where a forced circulation evaporator was used to vaporize pH adjusted cellulosic 190 proof ethanol at atmospheric pressure. A steady flow of 190 proof ethanol was supplied at 0.9-0.75 gpm to the separator of the evaporator. A peristaltic pump was used to supply 1N NaOH to the vaporizer feed line at a targeted 0.35 g/L NaOH. Steam pressure was controlled to produce a steady distillate flow. A purge, or recycle, stream was operated to maintain a steady level in the separator. The distillate stream and the concentrate purge stream were collected separately for analysis. FIG. 15 shows the diacetyl concentration in the untreated 190 proof was reduced from 80 ppm to <10 ppm with an average value of 6.4 ppm. HPLC analysis was also performed on both the feed and concentrate streams. Acetic acid present in the composite 190 proof ethanol sample accumulated in the vaporizer and had not reached equilibrium with purge volume by the end of the experiment. FIG. 16 shows the acetic acid present in the feed and its accumulation in the vaporizer.",B01D3002,B01D300,20160112,,20160505,91430.0 28,14989955,PENDING,SEMICONDUCTOR MEMORY DEVICES HAVING CLOSELY SPACED BIT LINES,"The inventive concepts relate to a semiconductor memory device. The semiconductor memory device includes a substrate including a circuit region and first and second connection regions respectively disposed at both sides of the circuit region opposite to each other, a logic structure including a logic circuit disposed on the circuit region and a lower insulating layer covering the logic circuit, and a memory structure on the logic structure. The logic circuit includes a first page buffer disposed adjacently to the first connection region and a second page buffer disposed adjacently to the second connection region. The memory structure includes bit lines extending onto at least one of the first and second connection regions.","1. A semiconductor memory device, comprising: a substrate having an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a memory cell array on the substrate; a first page buffer; a second page buffer; and a plurality of bit lines that extend in the second direction to at least partly cross the memory cell array, the bit lines including: a plurality of first bit lines that are electrically connected to the first page buffer; and a plurality of second bit lines that are electrically connected to the second page buffer, wherein the first bit lines and the second bit lines are alternately and repeatedly arranged in the first direction. 2. The semiconductor memory device of claim 1, wherein the first bit lines have respective first end portions that are adjacent a first side of the memory cell array and respective second end portions that are adjacent a second side of the memory cell array, wherein the second bit lines have respective first end portions that are adjacent the first side of the memory cell array and respective second end portions that are adjacent the second side of the memory cell array, the semiconductor memory device further comprising: a plurality of first connection contacts that extend in a third direction that is perpendicular to the first direction and to the second direction that connect the first end portions of the first bit lines to the first page buffer; and a plurality of second connection contacts that extend in the third direction that connect the second end portions of the second bit lines to the second page buffer. 3. The semiconductor memory device of claim 1, further comprising: a plurality of first connection conductive lines that extend in the second direction, the first connection conductive lines electrically connected to respective ones of the first bit lines; and a plurality of second connection conductive lines that extend in the second direction, the second connection conductive lines electrically connected to respective ones of the second bit lines. 4. The semiconductor memory device of claim 3, wherein an average width of a first of the first connection conductive lines in the first direction is greater than an average width in the first direction of a first of the first bit lines, and an average width of a first of the second connection conductive lines in the first direction is greater than an average width in the first direction of a first of the second bit lines. 5. The semiconductor memory device of claim 3, wherein a first distance between adjacent ones of the first connection conductive lines is greater than a second distance between adjacent ones of the bit lines, and wherein a third distance between adjacent ones of the second connection conductive lines is greater than the second distance. 6. (canceled) 7. The semiconductor memory device of claim 3, wherein the substrate includes a first connection region, a second connection region and a circuit region therebetween, the memory cell array being disposed on the circuit region, and wherein the first bit lines extend onto the first connection region, and the second bit lines extend onto the second connection region. 8. The semiconductor memory device of claim 7, wherein a maximum width of a first portion of a first of the first bit lines that is on the first connection region exceeds a maximum width of a second portion of the first of the first bit lines that crosses the memory cell array, and a maximum width of a first portion of a first of the second bit lines that is on the second connection region exceeds a maximum width of a second portion of the first of the second bit lines that crosses the memory cell array. 9. The semiconductor memory device of claim 7, wherein a maximum width of a first portion of a first of the first connection conductive lines that is on the first connection region exceeds a maximum width of a second portion of the first of the first connection conductive lines that is under the memory cell array, and a maximum width of a first portion of a first of the second connection conductive lines that is on the second connection region exceeds a maximum width of a second portion of the first of the second connection conductive lines that is under the memory cell array. 10. The semiconductor memory device of claim 7, wherein a first of the first bit lines extends a different distance in the second direction onto the first connection region than does a second of the first bit lines that is adjacent the first of the first bit lines. 11-20. (canceled) 21. A semiconductor memory device, comprising: a substrate having a circuit region and first and second connection regions on opposed sides of the circuit region, the substrate including an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a memory cell array on the substrate; a plurality of bit lines that extend in the second direction to at least partially cross the circuit region, the bit lines spaced apart from each other in the first direction; a plurality of connection conductive lines that extend in the second direction and that are electrically connected to respective ones of the bit lines, wherein an average width of a first of the connection conductive lines is greater than an average width of the one of the bit lines to which the first of the connection conductive lines is electrically connected. 22. The semiconductor memory device of claim 21, further comprising a page buffer circuit that includes a first page buffer and a second page buffer, and wherein the connection conductive lines comprise first connection conductive lines and second connection conductive lines. 23. The semiconductor memory device of claim 22, wherein the bit lines comprise first bit lines that are electrically connected to the first page buffer by respective ones of the first connection conductive lines and second bit lines that are electrically connected to the second page buffer by respective ones of the second connection conductive lines, and wherein the first bit lines and the second bit lines are alternately and repeatedly arranged in the first direction. 24. The semiconductor memory device of claim 23, further comprising: a plurality of first lower contacts and a plurality of second lower contacts that extend in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of first connection contacts and a plurality of second connection contacts that extend in the third direction, wherein each first connection conductive line directly contacts a respective one of the first lower contacts and a respective one of the first connection contacts, and wherein each second connection conductive line directly contacts a respective one of the second lower contacts and a respective one of the second connection contacts. 25. The semiconductor memory device of claim 24, wherein each bit line has a first end portion that is adjacent a first side of the memory cell array and a second end portion that is adjacent a second side of the memory cell array, and wherein the first end portions of the first bit lines directly contact respective ones of the first connection contacts and the second end portions of the second bit lines directly contact respective ones of the second connection contacts. 26-29. (canceled) 30. The semiconductor memory device of claim 24, wherein portions of the first connection conductive lines that directly contact the respective first connection contacts have an expanded width in the first direction. 31. The semiconductor memory device of claim 23, wherein the first and second bit lines include a first conductive material, and the first and second connection conductive lines include a second conductive material that has a melting point that is higher than a melting point of the first conductive material. 32-35. (canceled) 36. A semiconductor memory device, comprising: a substrate having an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a logic circuit on the upper surface of the substrate, the logic circuit including a first page buffer; a memory cell array on the logic circuit opposite the substrate, the memory cell array comprising; a semiconductor layer; a plurality of stack structures that are spaced apart from each other in the second direction, the stack structures including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first direction and to the second direction; a plurality of first bit lines that extend in the second direction to at least partially cross the stack structures, the first bit lines spaced apart from each other in the first direction; and a plurality of insulating layers that extend in the first direction that are provided between adjacent ones of the stack structures; and a plurality of first connection contacts that penetrate a first of the insulating layers, the first connection contacts electrically connecting respective ones of the first bit lines to the first page buffer. 37. The semiconductor memory device of claim 36, wherein the memory cell array further comprises a plurality of second bit lines that extend in the second direction to at least partially cross the stack structures, the first and second bit lines alternately and repeatedly arranged in the first direction, the semiconductor memory device further comprising: a second page buffer; and a plurality of second connection contacts that electrically connect respective ones of the second bit lines to the second page buffer. 38. The semiconductor memory device of claim 37, further comprising: a plurality of first connection conductive lines that extend in the second direction, the first connection conductive lines electrically connecting respective ones of the first bit lines to the first page buffer; and a plurality of second connection conductive lines that extend in the second direction, the second connection conductive lines electrically connecting respective ones of the second bit lines to the second page buffer. 39. (canceled) 40. The semiconductor memory device of claim 38, wherein a first distance between adjacent ones of the first connection conductive lines is greater than a second distance between adjacent ones of the first and second bit lines, and wherein a third distance between adjacent ones of the second connection conductive lines is greater than the second distance. 41-42. (canceled)"," BACKGROUND The inventive concepts relate to semiconductor memory devices. Semiconductor devices have been highly integrated to provide increased performance and reduced manufacturing costs. Integration densities of semiconductor devices may directly affect the costs of the semiconductor devices. An integration density of a two-dimensional (2D) or planar semiconductor memory device may be mainly determined by an area of a unit memory cell. Thus, the integration density of the 2D semiconductor memory device may be greatly affected by a technique of forming fine patterns. However, since extremely high-priced apparatuses are needed to form very fine patterns, the integration density of 2D semiconductor memory devices may continue to increase but may be still limited. Thus, semiconductor memory devices including three-dimensionally arranged memory cells have been developed."," SUMMARY Embodiments of the inventive concepts may provide semiconductor memory devices having improved electrical characteristics and integration density. In one aspect, a semiconductor memory device includes a substrate having an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a memory cell array on the substrate; a first page buffer; a second page buffer; and a plurality of bit lines that extend in the second direction to at least partly cross the memory cell array. The bit lines include a plurality of first bit lines that are electrically connected to the first page buffer and a plurality of second bit lines that are electrically connected to the second page buffer. The first bit lines and the second bit lines are alternately and repeatedly arranged in the first direction. In an embodiment, the first bit lines may have respective first end portions that are adjacent a first side of the memory cell array and respective second end portions that are adjacent a second side of the memory cell array, and the second bit lines may have respective first end portions that are adjacent the first side of the memory cell array and respective second end portions that are adjacent the second side of the memory cell array. In such embodiments, the semiconductor memory device may also include a plurality of first connection contacts that extend in a third direction that is perpendicular to the first and second directions that connect the first end portions of the first bit lines to the first page buffer and a plurality of second connection contacts that extend in a third direction that connect the first end portions of the second bit lines to the second page buffer. In an embodiment, the semiconductor memory device may further include a plurality of first connection conductive lines that extend in the second direction, the first connection conductive lines electrically connected to respective ones of the first bit lines; and a plurality of second connection conductive lines that extend in the second direction, the second connection conductive lines electrically connected to respective ones of the second bit lines. In an embodiment, an average width of a first of the first connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the first bit lines, and an average width of a first of the second connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the second bit lines. In an embodiment, a first distance between adjacent ones of the first connection conductive lines may be greater than a second distance between adjacent ones of the bit lines, and a third distance between adjacent ones of the second connection conductive lines may be greater than the second distance. In an embodiment, a pitch of the first connection conductive lines may be greater than a pitch of the bit lines, and a pitch of the second connection conductive lines may be greater than the pitch of the bit lines. In an embodiment, the substrate may include a first connection region, a second connection region and a circuit region therebetween, the memory cell array may be disposed on the circuit region, and the first bit lines may extend onto the first connection region and the second bit lines may extend onto the second connection region. In an embodiment, a maximum width of a first portion of a first of the first bit lines that is on the first connection region may exceed a maximum width of a second portion of the first of the first bit lines that crosses the memory cell array, and a maximum width of a first portion of a first of the second bit lines that is on the second connection region may exceed a maximum width of a second portion of the first of the second bit lines that crosses the memory cell array. In an embodiment, a maximum width of a first portion of a first of the first connection conductive lines that is on the first connection region may exceed a maximum width of a second portion of the first of the first connection conductive lines that is under the memory cell array, and a maximum width of a first portion of a first of the second connection conductive lines that is on the second connection region may exceed a maximum width of a second portion of the first of the second connection conductive lines that is under the memory cell array. In an embodiment, a first of the first bit lines may extend a different distance in the second direction onto the first connection region than does a second of the first bit lines that is adjacent the first of the first bit lines. In an embodiment, a first of the first connection conductive lines may extend a different distance in the second direction onto the first connection region than does a second of the first connection conductive lines that is adjacent to the first of the first connection conductive lines, and a first of the second connection conductive lines may extend a different distance in the second direction onto the second connection region than does a second of the second connection conductive lines that is adjacent to the first of the second connection conductive lines. In an embodiment, the memory cell array may include a semiconductor layer; a stack structure including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first direction and to the second direction; a plurality of active pillars penetrating the stack structure in the third direction; and a data storage element disposed between each of the active pillars and the electrodes. In an embodiment, the stack structure may comprise a first of a plurality of stack structures that are spaced apart from each other in the second direction, and the semiconductor memory device may further include an upper insulating layer on outer sidewalls of the outermost ones of the stack structures, the upper insulating layer extending onto the first and second connection regions; first connection contacts penetrating a first portion of the upper insulating layer that is on the first connection region, the first connection contacts electrically connecting the first bit lines to the first connection conductive lines; and second connection contacts penetrating a second portion of the upper insulating layer that is on the second connection region, the second connection contacts electrically connecting the second bit lines to the second connection conductive lines. In an embodiment, lower ends of the first connection contacts may directly contact respective ones of a plurality of first connection conductive pads, and lower ends of the second connection conductive contacts may directly contact respective ones of a plurality of second connection conductive pads. In an embodiment, the first and second connection conductive pads may have widths that are greater than widths of the first and second connection conductive lines. In an embodiment, the memory cell array may comprise a semiconductor layer; a stack structure including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of active pillars penetrating the stack structure in the third direction, where each of the active pillars includes a pair of vertical portions that penetrate the stack structure and a horizontal portion that connects bottom ends of the vertical portions to each other. In an embodiment, the semiconductor memory device may further include a plurality of first lower contacts and a plurality of second lower contacts, the first and second lower contacts extending in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of first connection contacts and a plurality of second connection contacts, the first and second connection contacts extending in the third direction. In such embodiments, the first connection conductive lines may directly contact respective ones of the first lower contacts and respective ones of the first connection contacts and the second connection conductive lines may directly contact respective ones of the second lower contacts and respective ones of the second connection contacts. In an embodiment, the first and second page buffers may be part of a logic circuit that is formed on the substrate, and the semiconductor memory device may further include an insulating layer on the logic circuit opposite the substrate, where the memory cell array is on the insulating layer opposite the logic circuit. In an embodiment, each of the first and second bit lines may include a first conductive material, and each of the first and second connection conductive lines may include a second conductive material that has a melting point that is higher than a melting point of the first conductive material. In some such embodiments, the first conductive material may include copper (Cu) or aluminum (Al) and the second conductive material may include tungsten (W). In another aspect, a semiconductor memory device includes a substrate having a circuit region and first and second connection regions on opposed sides of the circuit region, the substrate including an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a memory cell array on the substrate; a plurality of bit lines that extend in the second direction to at least partially cross the circuit region, the bit lines spaced apart from each other in the first direction; and a plurality of connection conductive lines that extend in the second direction and that are electrically connected to respective ones of the bit lines. An average width of a first of the connection conductive lines is greater than an average width of the one of the bit lines to which the first of the connection conductive lines is electrically connected. In an embodiment, the semiconductor memory device may further include a page buffer circuit that has a first page buffer and a second page buffer, and the connection conductive lines may comprise first connection conductive lines and second connection conductive lines. In an embodiment, the bit lines may comprise first bit lines that are electrically connected to the first page buffer by respective ones of the first connection conductive lines and second bit lines that are electrically connected to the second page buffer by respective ones of the second connection conductive lines, where the first bit lines and the second bit lines are alternately and repeatedly arranged in the first direction. In an embodiment, the semiconductor memory device may further include a plurality of first lower contacts and a plurality of second lower contacts that extend in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of first connection contacts and a plurality of second connection contacts that extend in the third direction. In such embodiments, each first connection conductive line may directly contact a respective one of the first lower contacts and a respective one of the first connection contacts, and each second connection conductive line may directly contact a respective one of the second lower contacts and a respective one of the second connection contacts. In an embodiment, each bit line may have a first end portion that is adjacent a first side of the memory cell array and a second end portion that is adjacent a second side of the memory cell array, and the first end portions of the first bit lines may directly contact respective ones of the first connection contacts and the second end portions of the second bit lines may directly contact respective ones of the second connection contacts. In an embodiment, the first end portions of the first bit lines may be aligned along a first imaginary line that extends in the first direction and the first end portions of the second bit lines may be aligned along a second imaginary line that extends in the first direction, and the first imaginary line may be spaced apart from the second imaginary line in the second direction. In an embodiment, the first end portions of the first bit lines may have an expanded width in the first direction. In an embodiment, the first end portions of a first subset of the first bit lines may be aligned along a first imaginary line that extends in the first direction, the first end portions of a second subset of the first bit lines may be aligned along a second imaginary line that extends in the first direction, and the first end portions of the second bit lines may be aligned along a third imaginary line that extends in the first direction, the first, second and third imaginary lines being spaced apart from each other in the second direction. In an embodiment, the first end portions of at least some of the first bit lines may have an expanded width in the first direction. In an embodiment, portions of the first connection conductive lines that directly contact the respective first connection contacts may have an expanded width in the first direction. In an embodiment, the first and second bit lines may include a first conductive material, and the first and second connection conductive lines may include a second conductive material that has a melting point that is higher than a melting point of the first conductive material. In such embodiments, the first conductive material may include copper (Cu) or aluminum (Al) and the second conductive material may include tungsten (W). In an embodiment, the memory cell array may comprise a stack structure that includes plurality of gate electrodes that each extend in the first direction and that are stacked in the third direction on the substrate. In an embodiment, the semiconductor memory device may further include an insulating layer that penetrates the stack structure, where at least some of the first connection contacts penetrate the insulating layer. In an embodiment, first end portions of the first bit lines may extend onto the first connection region but second end portions of the first bit lines may not extend onto the second connection region, and second end portions of the second bit lines may extend onto the second connection region but first end portions of the second bit lines may not extend onto the first connection region. In another aspect, a semiconductor memory device includes a substrate having an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a logic circuit on the upper surface of the substrate, the logic circuit including a first page buffer; and a memory cell array on the logic circuit opposite the substrate. The memory cell array may include a semiconductor layer; a plurality of stack structures that are spaced apart from each other in the second direction, the stack structures including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first and second directions; a plurality of first bit lines that extend in the second direction to at least partially cross the stack structures, the first bit lines spaced apart from each other in the first direction; and a plurality of insulating layers that extend in the first direction that are provided between adjacent ones of the stack structures. The semiconductor memory device may further include a plurality of first connection contacts that penetrate a first of the insulating layers, the first connection contacts electrically connecting respective ones of the first bit lines to the first page buffer In an embodiment, the memory cell array may further include a plurality of second bit lines that extend in the second direction to at least partially cross the stack structures, the first and second bit lines alternately and repeatedly arranged in the first direction. In such embodiments, the semiconductor memory device may further include a second page buffer; and a plurality of second connection contacts that electrically connect respective ones of the second bit lines to the second page buffer. In an embodiment, the semiconductor memory device may also include a plurality of first connection conductive lines that extend in the second direction, the first connection conductive lines electrically connecting to respective ones of the first bit lines to the first page buffer; and a plurality of second connection conductive lines that extend in the second direction, the second connection conductive lines electrically connecting respective ones of the second bit lines to the second page buffer. In an embodiment, an average width of a first of the first connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the first bit lines, and an average width of a first of the second connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the second bit lines. In an embodiment, a first distance between adjacent ones of the first connection conductive lines may be greater than a second distance between adjacent ones of the first and second bit lines, and a third distance between adjacent ones of the second connection conductive lines may be greater than the second distance. In an embodiment, a pitch of the first connection conductive lines may be greater than a pitch of the combination of the first and second bit lines, and a pitch of the second connection conductive lines may be greater than the pitch of the combination of the first and second bit lines. In an embodiment, the second connection contacts may penetrate a second of the insulating layers. In one aspect, a semiconductor device may include a substrate including a circuit region and first and second connection regions respectively disposed at both sides of the circuit region opposite to each other, a logic structure including a logic circuit disposed on the circuit region and a lower insulating layer covering the logic circuit, and a memory structure on the logic structure. The logic circuit may include a first page buffer disposed adjacently to the first connection region and a second page buffer disposed adjacently to the second connection region. The memory structure may include bit lines extending onto at least one of the first and second connection regions. The bit limes may include first bit lines electrically connected to the first page buffer, and second bit lines electrically connected to the second page buffer. The first bit lines and the second bit lines may be alternately and repeatedly arranged in a direction intersecting a longitudinal direction of the first and second bit lines. In an embodiment, the logic structure may further include first connection conductive lines electrically connecting the first page buffer to the first bit lines and extending onto the first connection region, and second connection conductive lines electrically connecting the second page buffer to the second bit lines and extending onto the second connection region. The first connection conductive lines may overlap with the first bit lines when viewed from a plan view, and the second connection conductive lines may overlap with the second bit lines when viewed from a plan view. In an embodiment, a distance between the first connection conductive lines and a distance between the second connection conductive lines may be greater than a distance between the first and second bit lines adjacent to each other. In an embodiment, a width of each of the first connection conductive lines may be greater than a width of each of the first bit lines, and a width of each of the second connection conductive lines may be greater than a width of each of the second bit lines. In an embodiment, one end portion of each of the first bit lines may extend onto the first connection region, but another end portion of each of the first bit lines may not extend onto the second connection region. One end portion of each of the second bit lines may extend onto the second connection region but another end portion of each of the second bit lines may not extend onto the first connection region. In an embodiment, on the first connection region, a width of one end portion of each of the first bit lines may be greater than a width of a line portion of each of the first bit lines. On the second connection region, a width of one end portion of each of the second bit lines may be greater than a width of a line portion of each of the second bit lines. In an embodiment, on the first connection region, a width of one end portion of each of the first connection conductive lines may be greater than a width of a line portion of each of the first connection conductive lines. On the second connection region, a width of one end portion of each of the second connection conductive lines may be greater than a width of a line portion of each of the second connection conductive lines. In an embodiment, one of the first bit lines adjacent to each other may laterally protrude from the other of the first bit lines adjacent to each other on the first connection region, and one of the second bit lines adjacent to each other may laterally protrude from the other of the second bit lines adjacent to each other on the second connection region. In an embodiment, one of the first connection conductive lines adjacent to each other may laterally protrudes from the other of the first connection conductive lines adjacent to each other on the first connection region, and one of the second connection conductive lines adjacent to each other may laterally protrude from the other of the second connection conductive lines adjacent to each other on the second connection region. In an embodiment, the memory structure may further include a semiconductor layer, a stack structure including a plurality of electrodes vertically stacked on the semiconductor layer, and a plurality of active pillars penetrating the stack structure. The first and second bit lines may be electrically connected to top ends of the active pillars. In an embodiment, the memory structure may further include a data storage element disposed between each of the active pillars and the electrodes. In an embodiment, the stack structure includes a plurality of stack structures spaced apart from each other in the longitudinal direction of the first and second bit lines. In this case, the semiconductor device may further include an upper insulating layer covering sidewalls of the outermost ones of the stack structures and extending onto the first and second connection regions, first connection contacts disposed in the upper insulating layer of the first connection region to electrically connect the first bit lines to the first connection conductive lines, and second connection contacts disposed in the upper insulating layer of the second connection region to electrically connect the second bit lines to the second connection conductive lines. In an embodiment, the lower insulating layer may extend onto the first and second connection regions. In this case, the semiconductor device may further include first connection conductive pads disposed in the lower insulating layer of the first connection region so as to be in contact with one-end portions of the first connection contacts, and second connection conductive pads disposed in the lower insulating layer of the second connection region so as to be in contact with one-end portions of the second connection contacts. In an embodiment, widths of the first connection conductive pads may be greater than widths of the first connection conductive lines, and widths of the second connection conductive pads may be greater than widths of the second connection conductive lines. In an embodiment, each of the active pillars may include vertical portions penetrating the stack structure and a horizontal portion disposed under the stack structure to connect the vertical portions to each other. In an embodiment, each of the first and second bit lines may include a first conductive material, and each of the first and second connection conductive lines may include a second conductive material of which a melting point is higher than that of the first conductive material. In an embodiment, the first conductive material may include copper (Cu) or aluminum (Al), and the second conductive material may include tungsten (W). In another aspect, a semiconductor device may include a logic structure and a memory structure sequentially stacked on a substrate. The logic structure may include a first page buffer disposed adjacently to one side of the memory structure, and a second page buffer disposed adjacently to another side, opposite to the one side, of the memory structure. The memory structure may include first bit lines electrically connected to the first page buffer, and second bit lines electrically connected to the second page buffer. The first bit lines and the second bit lines may extend along a direction in which the first and second page buffers are opposite to each other. The first bit lines and the second bit lines may be alternately and repeatedly arranged along a direction intersecting the extending direction of the first and second bit lines. In an embodiment, the logic structure may further include first connection conductive lines electrically connected to first logic transistors constituting the first page buffer, and second connection conductive lines electrically connected to second logic transistors constituting the second page buffer. The first connection conductive lines may overlap with the first bit lines when viewed from a plan view, and the second connection conductive lines may overlap with the second bit lines when viewed from a plan view. In an embodiment, the first and second bit lines may be alternately and repeatedly arranged with a first pitch. The first connection conductive lines may have a second pitch greater than the first pitch, and the second connection conductive lines may have a third pitch greater than the first pitch. In an embodiment, one end portion of each of the first bit lines may laterally protrude from the one side of the memory structure, but another end portion of each of the first bit lines may not laterally protrude from the another side of the memory structure. One end portion of each of the second bit lines may laterally protrude from the another side of the memory structure, but another end portion of each of the second bit lines may not laterally protrude from the one side of the memory structure. In an embodiment, a width of the one end portion of each of the first bit lines may be wider than a width of a line portion of each of the first bit lines, and a width of the one end portion of each of the second bit lines may be wider than a width of a line portion of each of the second bit lines. In an embodiment, a protruding length, from the one side of the memory structure, of one of the first bit lines adjacent to each other may be greater than that of the other of the first bit lines adjacent to each other. A protruding length, from the another side of the memory structure, of one of the second bit lines adjacent to each other may be greater than that of the other of the second bit lines adjacent to each other. In an embodiment, the memory structure may further include a semiconductor layer, stack structures disposed on the semiconductor layer, and a plurality of active pillars penetrating each of the stack structures. Each of the stack structures may include a plurality of electrodes vertically stacked on the semiconductor layer. The stack structures may be spaced apart from each other in the extending direction of the first and second bit lines, and the first and second bit lines may be electrically connected to top ends of the active pillars. In an embodiment, the memory structure may further include a data storage element disposed between each of the active pillars and the electrodes. In an embodiment, the semiconductor device may further include an upper insulating layer, first connection contacts, and second connection contacts. The upper insulating layer may cover sidewalls of the outermost ones of the stack structures. One of the outermost stack structures may be adjacent to the first page buffer, and another of the outermost stack structures may be adjacent to the second page buffer. The first connection contacts may penetrate the upper insulating layer covering the sidewall of the one outermost stack structure to electrically connect the first bit lines to the first connection conductive lines, and the second connection contacts may penetrate the upper insulating layer covering the sidewall of the another outermost stack structure to electrically connect the second bit lines to the second connection conductive lines. In an embodiment, the semiconductor device may further include an upper insulating layer covering sidewalls of the outermost ones of the stack structures, first connection contacts penetrating the upper insulating layer covering the sidewall of one of the outermost stack structures, and second connection contacts disposed between the stack structures adjacent to each other. The first connection contacts may constitute a first group, and the second connection contacts may constitute a second group. The first bit lines may be electrically connected to the first connection conductive lines through the connection contacts of one of the first and second groups, and the second bit lines may be electrically connected to the second connection conductive lines through the connection contacts of the other of the first and second groups. In an embodiment, one of the outermost stack structures may be adjacent to the first page buffer, and another of the outermost stack structures may be adjacent to the second page buffer. The first connection contacts may penetrate the upper insulating layer covering the sidewall of the one outermost stack structure if the first bit lines are electrically connected to the first connection conductive lines through the first connection contacts. Alternatively, the first connection contacts may penetrate the upper insulating layer covering the sidewall of the another outermost stack structure if the second bit lines are electrically connected to the second connection conductive lines through the first connection contacts.","CROSS-REFERENCE TO RELATED APPLICATION This U.S. non-provisional patent application claims priority under 35 U.S.C. §119 to Korean Patent Application No. 10-2015-0111749, filed on Aug. 7, 2015 in the Korean Intellectual Property Office, the entire content of which is hereby incorporated herein by reference in its entirety. BACKGROUND The inventive concepts relate to semiconductor memory devices. Semiconductor devices have been highly integrated to provide increased performance and reduced manufacturing costs. Integration densities of semiconductor devices may directly affect the costs of the semiconductor devices. An integration density of a two-dimensional (2D) or planar semiconductor memory device may be mainly determined by an area of a unit memory cell. Thus, the integration density of the 2D semiconductor memory device may be greatly affected by a technique of forming fine patterns. However, since extremely high-priced apparatuses are needed to form very fine patterns, the integration density of 2D semiconductor memory devices may continue to increase but may be still limited. Thus, semiconductor memory devices including three-dimensionally arranged memory cells have been developed. SUMMARY Embodiments of the inventive concepts may provide semiconductor memory devices having improved electrical characteristics and integration density. In one aspect, a semiconductor memory device includes a substrate having an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a memory cell array on the substrate; a first page buffer; a second page buffer; and a plurality of bit lines that extend in the second direction to at least partly cross the memory cell array. The bit lines include a plurality of first bit lines that are electrically connected to the first page buffer and a plurality of second bit lines that are electrically connected to the second page buffer. The first bit lines and the second bit lines are alternately and repeatedly arranged in the first direction. In an embodiment, the first bit lines may have respective first end portions that are adjacent a first side of the memory cell array and respective second end portions that are adjacent a second side of the memory cell array, and the second bit lines may have respective first end portions that are adjacent the first side of the memory cell array and respective second end portions that are adjacent the second side of the memory cell array. In such embodiments, the semiconductor memory device may also include a plurality of first connection contacts that extend in a third direction that is perpendicular to the first and second directions that connect the first end portions of the first bit lines to the first page buffer and a plurality of second connection contacts that extend in a third direction that connect the first end portions of the second bit lines to the second page buffer. In an embodiment, the semiconductor memory device may further include a plurality of first connection conductive lines that extend in the second direction, the first connection conductive lines electrically connected to respective ones of the first bit lines; and a plurality of second connection conductive lines that extend in the second direction, the second connection conductive lines electrically connected to respective ones of the second bit lines. In an embodiment, an average width of a first of the first connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the first bit lines, and an average width of a first of the second connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the second bit lines. In an embodiment, a first distance between adjacent ones of the first connection conductive lines may be greater than a second distance between adjacent ones of the bit lines, and a third distance between adjacent ones of the second connection conductive lines may be greater than the second distance. In an embodiment, a pitch of the first connection conductive lines may be greater than a pitch of the bit lines, and a pitch of the second connection conductive lines may be greater than the pitch of the bit lines. In an embodiment, the substrate may include a first connection region, a second connection region and a circuit region therebetween, the memory cell array may be disposed on the circuit region, and the first bit lines may extend onto the first connection region and the second bit lines may extend onto the second connection region. In an embodiment, a maximum width of a first portion of a first of the first bit lines that is on the first connection region may exceed a maximum width of a second portion of the first of the first bit lines that crosses the memory cell array, and a maximum width of a first portion of a first of the second bit lines that is on the second connection region may exceed a maximum width of a second portion of the first of the second bit lines that crosses the memory cell array. In an embodiment, a maximum width of a first portion of a first of the first connection conductive lines that is on the first connection region may exceed a maximum width of a second portion of the first of the first connection conductive lines that is under the memory cell array, and a maximum width of a first portion of a first of the second connection conductive lines that is on the second connection region may exceed a maximum width of a second portion of the first of the second connection conductive lines that is under the memory cell array. In an embodiment, a first of the first bit lines may extend a different distance in the second direction onto the first connection region than does a second of the first bit lines that is adjacent the first of the first bit lines. In an embodiment, a first of the first connection conductive lines may extend a different distance in the second direction onto the first connection region than does a second of the first connection conductive lines that is adjacent to the first of the first connection conductive lines, and a first of the second connection conductive lines may extend a different distance in the second direction onto the second connection region than does a second of the second connection conductive lines that is adjacent to the first of the second connection conductive lines. In an embodiment, the memory cell array may include a semiconductor layer; a stack structure including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first direction and to the second direction; a plurality of active pillars penetrating the stack structure in the third direction; and a data storage element disposed between each of the active pillars and the electrodes. In an embodiment, the stack structure may comprise a first of a plurality of stack structures that are spaced apart from each other in the second direction, and the semiconductor memory device may further include an upper insulating layer on outer sidewalls of the outermost ones of the stack structures, the upper insulating layer extending onto the first and second connection regions; first connection contacts penetrating a first portion of the upper insulating layer that is on the first connection region, the first connection contacts electrically connecting the first bit lines to the first connection conductive lines; and second connection contacts penetrating a second portion of the upper insulating layer that is on the second connection region, the second connection contacts electrically connecting the second bit lines to the second connection conductive lines. In an embodiment, lower ends of the first connection contacts may directly contact respective ones of a plurality of first connection conductive pads, and lower ends of the second connection conductive contacts may directly contact respective ones of a plurality of second connection conductive pads. In an embodiment, the first and second connection conductive pads may have widths that are greater than widths of the first and second connection conductive lines. In an embodiment, the memory cell array may comprise a semiconductor layer; a stack structure including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of active pillars penetrating the stack structure in the third direction, where each of the active pillars includes a pair of vertical portions that penetrate the stack structure and a horizontal portion that connects bottom ends of the vertical portions to each other. In an embodiment, the semiconductor memory device may further include a plurality of first lower contacts and a plurality of second lower contacts, the first and second lower contacts extending in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of first connection contacts and a plurality of second connection contacts, the first and second connection contacts extending in the third direction. In such embodiments, the first connection conductive lines may directly contact respective ones of the first lower contacts and respective ones of the first connection contacts and the second connection conductive lines may directly contact respective ones of the second lower contacts and respective ones of the second connection contacts. In an embodiment, the first and second page buffers may be part of a logic circuit that is formed on the substrate, and the semiconductor memory device may further include an insulating layer on the logic circuit opposite the substrate, where the memory cell array is on the insulating layer opposite the logic circuit. In an embodiment, each of the first and second bit lines may include a first conductive material, and each of the first and second connection conductive lines may include a second conductive material that has a melting point that is higher than a melting point of the first conductive material. In some such embodiments, the first conductive material may include copper (Cu) or aluminum (Al) and the second conductive material may include tungsten (W). In another aspect, a semiconductor memory device includes a substrate having a circuit region and first and second connection regions on opposed sides of the circuit region, the substrate including an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a memory cell array on the substrate; a plurality of bit lines that extend in the second direction to at least partially cross the circuit region, the bit lines spaced apart from each other in the first direction; and a plurality of connection conductive lines that extend in the second direction and that are electrically connected to respective ones of the bit lines. An average width of a first of the connection conductive lines is greater than an average width of the one of the bit lines to which the first of the connection conductive lines is electrically connected. In an embodiment, the semiconductor memory device may further include a page buffer circuit that has a first page buffer and a second page buffer, and the connection conductive lines may comprise first connection conductive lines and second connection conductive lines. In an embodiment, the bit lines may comprise first bit lines that are electrically connected to the first page buffer by respective ones of the first connection conductive lines and second bit lines that are electrically connected to the second page buffer by respective ones of the second connection conductive lines, where the first bit lines and the second bit lines are alternately and repeatedly arranged in the first direction. In an embodiment, the semiconductor memory device may further include a plurality of first lower contacts and a plurality of second lower contacts that extend in a third direction that is perpendicular to the first direction and to the second direction; and a plurality of first connection contacts and a plurality of second connection contacts that extend in the third direction. In such embodiments, each first connection conductive line may directly contact a respective one of the first lower contacts and a respective one of the first connection contacts, and each second connection conductive line may directly contact a respective one of the second lower contacts and a respective one of the second connection contacts. In an embodiment, each bit line may have a first end portion that is adjacent a first side of the memory cell array and a second end portion that is adjacent a second side of the memory cell array, and the first end portions of the first bit lines may directly contact respective ones of the first connection contacts and the second end portions of the second bit lines may directly contact respective ones of the second connection contacts. In an embodiment, the first end portions of the first bit lines may be aligned along a first imaginary line that extends in the first direction and the first end portions of the second bit lines may be aligned along a second imaginary line that extends in the first direction, and the first imaginary line may be spaced apart from the second imaginary line in the second direction. In an embodiment, the first end portions of the first bit lines may have an expanded width in the first direction. In an embodiment, the first end portions of a first subset of the first bit lines may be aligned along a first imaginary line that extends in the first direction, the first end portions of a second subset of the first bit lines may be aligned along a second imaginary line that extends in the first direction, and the first end portions of the second bit lines may be aligned along a third imaginary line that extends in the first direction, the first, second and third imaginary lines being spaced apart from each other in the second direction. In an embodiment, the first end portions of at least some of the first bit lines may have an expanded width in the first direction. In an embodiment, portions of the first connection conductive lines that directly contact the respective first connection contacts may have an expanded width in the first direction. In an embodiment, the first and second bit lines may include a first conductive material, and the first and second connection conductive lines may include a second conductive material that has a melting point that is higher than a melting point of the first conductive material. In such embodiments, the first conductive material may include copper (Cu) or aluminum (Al) and the second conductive material may include tungsten (W). In an embodiment, the memory cell array may comprise a stack structure that includes plurality of gate electrodes that each extend in the first direction and that are stacked in the third direction on the substrate. In an embodiment, the semiconductor memory device may further include an insulating layer that penetrates the stack structure, where at least some of the first connection contacts penetrate the insulating layer. In an embodiment, first end portions of the first bit lines may extend onto the first connection region but second end portions of the first bit lines may not extend onto the second connection region, and second end portions of the second bit lines may extend onto the second connection region but first end portions of the second bit lines may not extend onto the first connection region. In another aspect, a semiconductor memory device includes a substrate having an upper surface that extends in a first direction and in a second direction that is perpendicular to the first direction; a logic circuit on the upper surface of the substrate, the logic circuit including a first page buffer; and a memory cell array on the logic circuit opposite the substrate. The memory cell array may include a semiconductor layer; a plurality of stack structures that are spaced apart from each other in the second direction, the stack structures including a plurality of electrodes that are stacked on the semiconductor layer in a third direction that is perpendicular to the first and second directions; a plurality of first bit lines that extend in the second direction to at least partially cross the stack structures, the first bit lines spaced apart from each other in the first direction; and a plurality of insulating layers that extend in the first direction that are provided between adjacent ones of the stack structures. The semiconductor memory device may further include a plurality of first connection contacts that penetrate a first of the insulating layers, the first connection contacts electrically connecting respective ones of the first bit lines to the first page buffer In an embodiment, the memory cell array may further include a plurality of second bit lines that extend in the second direction to at least partially cross the stack structures, the first and second bit lines alternately and repeatedly arranged in the first direction. In such embodiments, the semiconductor memory device may further include a second page buffer; and a plurality of second connection contacts that electrically connect respective ones of the second bit lines to the second page buffer. In an embodiment, the semiconductor memory device may also include a plurality of first connection conductive lines that extend in the second direction, the first connection conductive lines electrically connecting to respective ones of the first bit lines to the first page buffer; and a plurality of second connection conductive lines that extend in the second direction, the second connection conductive lines electrically connecting respective ones of the second bit lines to the second page buffer. In an embodiment, an average width of a first of the first connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the first bit lines, and an average width of a first of the second connection conductive lines in the first direction may be greater than an average width in the first direction of a first of the second bit lines. In an embodiment, a first distance between adjacent ones of the first connection conductive lines may be greater than a second distance between adjacent ones of the first and second bit lines, and a third distance between adjacent ones of the second connection conductive lines may be greater than the second distance. In an embodiment, a pitch of the first connection conductive lines may be greater than a pitch of the combination of the first and second bit lines, and a pitch of the second connection conductive lines may be greater than the pitch of the combination of the first and second bit lines. In an embodiment, the second connection contacts may penetrate a second of the insulating layers. In one aspect, a semiconductor device may include a substrate including a circuit region and first and second connection regions respectively disposed at both sides of the circuit region opposite to each other, a logic structure including a logic circuit disposed on the circuit region and a lower insulating layer covering the logic circuit, and a memory structure on the logic structure. The logic circuit may include a first page buffer disposed adjacently to the first connection region and a second page buffer disposed adjacently to the second connection region. The memory structure may include bit lines extending onto at least one of the first and second connection regions. The bit limes may include first bit lines electrically connected to the first page buffer, and second bit lines electrically connected to the second page buffer. The first bit lines and the second bit lines may be alternately and repeatedly arranged in a direction intersecting a longitudinal direction of the first and second bit lines. In an embodiment, the logic structure may further include first connection conductive lines electrically connecting the first page buffer to the first bit lines and extending onto the first connection region, and second connection conductive lines electrically connecting the second page buffer to the second bit lines and extending onto the second connection region. The first connection conductive lines may overlap with the first bit lines when viewed from a plan view, and the second connection conductive lines may overlap with the second bit lines when viewed from a plan view. In an embodiment, a distance between the first connection conductive lines and a distance between the second connection conductive lines may be greater than a distance between the first and second bit lines adjacent to each other. In an embodiment, a width of each of the first connection conductive lines may be greater than a width of each of the first bit lines, and a width of each of the second connection conductive lines may be greater than a width of each of the second bit lines. In an embodiment, one end portion of each of the first bit lines may extend onto the first connection region, but another end portion of each of the first bit lines may not extend onto the second connection region. One end portion of each of the second bit lines may extend onto the second connection region but another end portion of each of the second bit lines may not extend onto the first connection region. In an embodiment, on the first connection region, a width of one end portion of each of the first bit lines may be greater than a width of a line portion of each of the first bit lines. On the second connection region, a width of one end portion of each of the second bit lines may be greater than a width of a line portion of each of the second bit lines. In an embodiment, on the first connection region, a width of one end portion of each of the first connection conductive lines may be greater than a width of a line portion of each of the first connection conductive lines. On the second connection region, a width of one end portion of each of the second connection conductive lines may be greater than a width of a line portion of each of the second connection conductive lines. In an embodiment, one of the first bit lines adjacent to each other may laterally protrude from the other of the first bit lines adjacent to each other on the first connection region, and one of the second bit lines adjacent to each other may laterally protrude from the other of the second bit lines adjacent to each other on the second connection region. In an embodiment, one of the first connection conductive lines adjacent to each other may laterally protrudes from the other of the first connection conductive lines adjacent to each other on the first connection region, and one of the second connection conductive lines adjacent to each other may laterally protrude from the other of the second connection conductive lines adjacent to each other on the second connection region. In an embodiment, the memory structure may further include a semiconductor layer, a stack structure including a plurality of electrodes vertically stacked on the semiconductor layer, and a plurality of active pillars penetrating the stack structure. The first and second bit lines may be electrically connected to top ends of the active pillars. In an embodiment, the memory structure may further include a data storage element disposed between each of the active pillars and the electrodes. In an embodiment, the stack structure includes a plurality of stack structures spaced apart from each other in the longitudinal direction of the first and second bit lines. In this case, the semiconductor device may further include an upper insulating layer covering sidewalls of the outermost ones of the stack structures and extending onto the first and second connection regions, first connection contacts disposed in the upper insulating layer of the first connection region to electrically connect the first bit lines to the first connection conductive lines, and second connection contacts disposed in the upper insulating layer of the second connection region to electrically connect the second bit lines to the second connection conductive lines. In an embodiment, the lower insulating layer may extend onto the first and second connection regions. In this case, the semiconductor device may further include first connection conductive pads disposed in the lower insulating layer of the first connection region so as to be in contact with one-end portions of the first connection contacts, and second connection conductive pads disposed in the lower insulating layer of the second connection region so as to be in contact with one-end portions of the second connection contacts. In an embodiment, widths of the first connection conductive pads may be greater than widths of the first connection conductive lines, and widths of the second connection conductive pads may be greater than widths of the second connection conductive lines. In an embodiment, each of the active pillars may include vertical portions penetrating the stack structure and a horizontal portion disposed under the stack structure to connect the vertical portions to each other. In an embodiment, each of the first and second bit lines may include a first conductive material, and each of the first and second connection conductive lines may include a second conductive material of which a melting point is higher than that of the first conductive material. In an embodiment, the first conductive material may include copper (Cu) or aluminum (Al), and the second conductive material may include tungsten (W). In another aspect, a semiconductor device may include a logic structure and a memory structure sequentially stacked on a substrate. The logic structure may include a first page buffer disposed adjacently to one side of the memory structure, and a second page buffer disposed adjacently to another side, opposite to the one side, of the memory structure. The memory structure may include first bit lines electrically connected to the first page buffer, and second bit lines electrically connected to the second page buffer. The first bit lines and the second bit lines may extend along a direction in which the first and second page buffers are opposite to each other. The first bit lines and the second bit lines may be alternately and repeatedly arranged along a direction intersecting the extending direction of the first and second bit lines. In an embodiment, the logic structure may further include first connection conductive lines electrically connected to first logic transistors constituting the first page buffer, and second connection conductive lines electrically connected to second logic transistors constituting the second page buffer. The first connection conductive lines may overlap with the first bit lines when viewed from a plan view, and the second connection conductive lines may overlap with the second bit lines when viewed from a plan view. In an embodiment, the first and second bit lines may be alternately and repeatedly arranged with a first pitch. The first connection conductive lines may have a second pitch greater than the first pitch, and the second connection conductive lines may have a third pitch greater than the first pitch. In an embodiment, one end portion of each of the first bit lines may laterally protrude from the one side of the memory structure, but another end portion of each of the first bit lines may not laterally protrude from the another side of the memory structure. One end portion of each of the second bit lines may laterally protrude from the another side of the memory structure, but another end portion of each of the second bit lines may not laterally protrude from the one side of the memory structure. In an embodiment, a width of the one end portion of each of the first bit lines may be wider than a width of a line portion of each of the first bit lines, and a width of the one end portion of each of the second bit lines may be wider than a width of a line portion of each of the second bit lines. In an embodiment, a protruding length, from the one side of the memory structure, of one of the first bit lines adjacent to each other may be greater than that of the other of the first bit lines adjacent to each other. A protruding length, from the another side of the memory structure, of one of the second bit lines adjacent to each other may be greater than that of the other of the second bit lines adjacent to each other. In an embodiment, the memory structure may further include a semiconductor layer, stack structures disposed on the semiconductor layer, and a plurality of active pillars penetrating each of the stack structures. Each of the stack structures may include a plurality of electrodes vertically stacked on the semiconductor layer. The stack structures may be spaced apart from each other in the extending direction of the first and second bit lines, and the first and second bit lines may be electrically connected to top ends of the active pillars. In an embodiment, the memory structure may further include a data storage element disposed between each of the active pillars and the electrodes. In an embodiment, the semiconductor device may further include an upper insulating layer, first connection contacts, and second connection contacts. The upper insulating layer may cover sidewalls of the outermost ones of the stack structures. One of the outermost stack structures may be adjacent to the first page buffer, and another of the outermost stack structures may be adjacent to the second page buffer. The first connection contacts may penetrate the upper insulating layer covering the sidewall of the one outermost stack structure to electrically connect the first bit lines to the first connection conductive lines, and the second connection contacts may penetrate the upper insulating layer covering the sidewall of the another outermost stack structure to electrically connect the second bit lines to the second connection conductive lines. In an embodiment, the semiconductor device may further include an upper insulating layer covering sidewalls of the outermost ones of the stack structures, first connection contacts penetrating the upper insulating layer covering the sidewall of one of the outermost stack structures, and second connection contacts disposed between the stack structures adjacent to each other. The first connection contacts may constitute a first group, and the second connection contacts may constitute a second group. The first bit lines may be electrically connected to the first connection conductive lines through the connection contacts of one of the first and second groups, and the second bit lines may be electrically connected to the second connection conductive lines through the connection contacts of the other of the first and second groups. In an embodiment, one of the outermost stack structures may be adjacent to the first page buffer, and another of the outermost stack structures may be adjacent to the second page buffer. The first connection contacts may penetrate the upper insulating layer covering the sidewall of the one outermost stack structure if the first bit lines are electrically connected to the first connection conductive lines through the first connection contacts. Alternatively, the first connection contacts may penetrate the upper insulating layer covering the sidewall of the another outermost stack structure if the second bit lines are electrically connected to the second connection conductive lines through the first connection contacts. BRIEF DESCRIPTION OF THE DRAWINGS The inventive concepts will become more apparent in view of the attached drawings and accompanying detailed description. FIG. 1 is a schematic block diagram illustrating a semiconductor memory device according to an embodiment of the inventive concepts. FIG. 2 is a cross-sectional view schematically illustrating an arrangement of components of a semiconductor memory device according to an embodiment of the inventive concepts. FIGS. 3A and 3B are plan views schematically illustrating arrangements of components of semiconductor memory devices according to embodiments of the inventive concepts. FIG. 4 is a circuit diagram illustrating an embodiment of a memory structure included in a semiconductor memory device according to an embodiment of the inventive concepts. FIG. 5A is a plan view illustrating a semiconductor memory device according to an embodiment of the inventive concepts. FIG. 5B is a cross-sectional view taken along line A-A′ of FIG. 5A. FIG. 5C is a cross-sectional view corresponding to the line A-A′ of FIG. 5A to illustrate a modified embodiment of a semiconductor memory device according to an embodiment of the inventive concepts. FIGS. 6A to 12A are plan views illustrating a method of manufacturing a semiconductor memory device according to an embodiment of the inventive concepts. FIGS. 6B to 12B are cross-sectional views taken along lines A-A′ of FIGS. 6A to 12A, respectively. FIGS. 13A to 13D are cross-sectional views taken along a direction that intersects the bit lines of a semiconductor memory device according to an embodiment of the inventive concepts to illustrate a method of forming the bit lines. FIG. 14A is a plan view illustrating a semiconductor memory device according to another embodiment of the inventive concepts. FIG. 14B is a cross-sectional view taken along a line A-A′ of FIG. 14A. FIG. 15 is a plan view illustrating a semiconductor memory device according to yet another embodiment of the inventive concepts. FIG. 16 is a plan view illustrating a semiconductor memory device according to a further embodiment of the inventive concepts. FIG. 17 is a circuit diagram illustrating an embodiment of a memory structure included in a semiconductor memory device according to still another embodiment of the inventive concepts. FIG. 18 is a cross-sectional view illustrating a semiconductor memory device according to yet another embodiment of the inventive concepts. DETAILED DESCRIPTION OF THE EMBODIMENTS The inventive concepts will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplary embodiments of the inventive concepts are shown. The advantages and features of the inventive concepts and methods of achieving them will be apparent from the following exemplary embodiments that will be described in more detail with reference to the accompanying drawings. It should be noted, however, that the inventive concepts are not limited to the following exemplary embodiments, and may be implemented in various other forms. Accordingly, the exemplary embodiments are provided only to disclose the inventive concepts and let those skilled in the art know the category of the inventive concepts. In the drawings, the sizes of various elements may be exaggerated for clarity. The same reference numerals or the same reference designators denote the same elements throughout the specification. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the inventive concepts. As used herein, the singular terms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be further understood that the terms “comprises”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, steps, operations, elements, components, and/or groups thereof. Similarly, it will be understood that when an element such as a layer, region or substrate is referred to as being “on” another element, it can be directly on the other element or intervening elements may be present. In contrast, the term “directly” means that there are no intervening elements. It will be also understood that although the terms first, second, third etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, a first element in some embodiments could be termed a second element in other embodiments without departing from the teachings of the inventive concepts. Additionally, the exemplary embodiments in the detailed description are described with reference to cross-sectional views and/or plan views that illustrate ideal exemplary views of the inventive concepts. Accordingly, shapes of actual devices may vary from the shapes illustrated herein due, for example, to manufacturing techniques and/or allowable errors. Therefore, the embodiments of the inventive concepts are not limited to the specific shapes illustrated in the exemplary views, but may include other shapes that may result from, for example, manufacturing processes. For example, an etching region illustrated as a rectangle may have rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to limit the scope of example embodiments. Hereinafter, embodiments of the inventive concepts will be described in more detail with reference to the drawings. FIG. 1 is a schematic block diagram illustrating a semiconductor memory device according to an embodiment of the inventive concepts. Referring to FIG. 1, the semiconductor memory device may include control logic 10 and a memory cell array 20. The control logic 10 may include a row decoder 12, a page buffer 14, a column decoder 16, and a control circuit 18. The memory cell array 20 may include a plurality of memory blocks BLK0 to BLKn. Each of the memory blocks BLK0 to BLKn may include a plurality of memory cells, a plurality of word lines, and a plurality of bit lines. The word lines and the bit lines may be electrically connected to the memory cells. The row decoder 12 may decode an address signal that is input from an external source to select one of the word lines. The address signal decoded in the row decoder 12 may be provided to a row driver (not shown), and the row driver may provide word line voltages generated from a voltage generating circuit (not shown) to the selected word line and unselected word lines in response to a control signal of the control circuit 18. The word line voltage provided to the selected word line may be different from the word line voltages provided to the unselected word lines. The row decoder 12 may be connected in common to the plurality of memory blocks BLK0 to BLKn and may provide driving signals (e.g., the word line voltages) to the word lines of a memory block (one of BLK0 to BLKn) that is selected by a block selection signal. The page buffer 14 may be connected to the memory cell array 20 through the bit lines to sense data stored in the memory cells and to write data to the memory cells. The page buffer 14 may be connected to the bit line selected by an address signal decoded from the column decoder 16. During a write or “program” operation, the page buffer 14 may temporarily store data that is to be stored in the memory cells. During a read operation, the page buffer 14 may sense data that is stored in the memory cells. For example, the page buffer 14 may act as a write driver circuit during a program operation and may act as a sense amplifier circuit during a read operation. The page buffer 14 may receive a power (e.g., a voltage or a current) from the control circuit 18 and may provide the received power to the selected bit line. The column decoder 16 may provide a data transmission path between the page buffer 14 and an external device (e.g., a memory controller). The column decoder 16 may decode the address signal that is input from the external device to select one of the bit lines. The column decoder 16 may be connected in common to the memory blocks BLK0 to BLKn and may provide data to the bit lines of the memory block (one of BLK0 to BLKn) that is selected by the block selection signal. The control circuit 18 may control overall operations of the semiconductor memory device. The control circuit 18 may receive control signals and an external voltage and may be operated in response to the received control signals. The control circuit 18 may include a voltage generator that generates voltages (e.g., a program voltage, a sensing voltage, and/or an erasing voltage) that are necessary to internal operations using the externally supplied voltage. The control circuit 18 may perform a sensing operation, a writing operation, and/or an erasing operation in response to the control signals. FIG. 2 is a cross-sectional view schematically illustrating an arrangement of components of a semiconductor memory device according to an embodiment of the inventive concepts. FIGS. 3A and 3B are plan views schematically illustrating arrangements of components of semiconductor memory devices according to embodiments of the inventive concepts. Referring to FIGS. 2, 3A, and 3B, a semiconductor memory device may include a logic structure 10 disposed on a substrate 100, and a memory structure 20 disposed on the logic structure 10. The logic structure 10 may correspond to the control logic 10 of FIG. 1, and the memory structure 20 may correspond to the memory cell array 20 of FIG. 1. The substrate 100 may include a circuit region CR and a connection region ER that is adjacent one or more edges of the circuit region CR. The connection region ER may include first and second connection regions ER1 and ER2 that each extend parallel to a first direction D1 and third and fourth connection regions ER3 and ER4 that each extend perpendicular to the first direction D1 when viewed from a plan view. In other words, the third and fourth connection regions ER3 and ER4 may each extend in a direction that is be perpendicular to the directions in which the first and second connection regions ER1 and ER2 extend when viewed from a plan view. The circuit region CR may have first and second sides that are opposite each other in a second direction D2 and third and fourth sides that are opposite each other in the first direction D1, when viewed from a plan view. The first and second connection regions ER1 and ER2 may be adjacent the first and second sides of the circuit region CR, respectively, and the third and fourth connection regions ER3 and ER4 may be adjacent the third and fourth sides of the circuit region CR, respectively. Here, the first direction D1 may correspond to a direction in which the word lines (WL0 to WL3 of FIG. 4) extend, and the second direction D2 may correspond to a direction in which the bit lines (BL of FIG. 4) extend to intersect the word lines WL0 to WL3. The logic structure 10 and the memory structure 20 may be sequentially stacked on the circuit region CR of substrate 100. In addition, the logic structure 10 may extend onto the connection region ER of the substrate 100. In other words, a portion of the row and column decoders 12 and 16, the page buffer 14 and the control circuit 18 constituting the logic structure 10 may be disposed on the circuit region CR, and another portion of the row and column decoders 12 and 16, the page buffer 14 and the control circuit 18 may be disposed on the connection region ER. In an embodiment, a portion of the logic structure 10 may be disposed on both the circuit region CR and the connection region ER. According to an embodiment of the inventive concepts, the page buffer 14 of FIG. 1 may be divided into two portions. The two portions of the page buffer 14 may be adjacent the respective first and second sides of the circuit region CR, and hence may be opposite each other in the second direction D2. In other words, the page buffer 14 may include a first page buffer 14_1 that is adjacent the first connection region ER1 and a second page buffer 14_2 that is adjacent the second connection region ER2. The first and second page buffers 14_1 and 14_2 may vertically overlap the circuit region CR. As used herein, a first element “vertically overlaps” a second element if an imaginary line that is perpendicular to a major face of the substrate on which the elements are provided intersects both the first element and the second element. FIGS. 3A and 3B illustrate the first and second page buffers 14_1 and 14_2 vertically overlapping only the circuit region CR and not the connection region ER. However, the inventive concepts are not limited thereto. For example, in other embodiments, a portion of the first page buffer 14_1 may also vertically overlap the first connection region ER1, and a portion of the second page buffer 14_2 may also vertically overlap the second connection region ER2. Other components of the semiconductor memory device may also be variously arranged. For example, the control circuit 18 may be provided underneath the circuit region CR so as to vertically overlap with the memory structure 20, as illustrated in FIG. 3A. The row and column decoders 12 and 16 may be provided underneath the connection region ER and may not vertically overlap the memory structure 20. In the illustrated embodiments, the row decoder 12 is divided into two portions that are disposed underneath the third and fourth connection regions ER3 and ER4, respectively, and the column decoder 16 is divided into two portions that are disposed underneath the first and second connection regions ER1 and ER2, respectively. In some embodiments, as illustrated in FIG. 3B, the page buffer 14, the column decoder 16, and a portion of the control circuit 18 may be on the circuit region CR so as to vertically overlap with the memory structure 20. In addition, the row decoder 12 and other portions of the control circuit 18 may be on the first to fourth connection regions ER1 to ER4. Meanwhile, a connection structure 30 may be disposed on the logic structure 10 of the connection region ER. However, the inventive concepts are not limited thereto. In other embodiments, the connection structure 30 may be disposed on the circuit region CR, unlike the embodiment illustrated in FIG. 2. In some embodiments, bit lines that are connected to the memory cells of the memory structure 20 may be electrically connected to the first and second page buffers 14_1, 14_2. For each pair of adjacent bit lines, the first bit line of the pair may be connected to the first page buffer 14_1, and the second bit line of the pair may be connected to the second page buffer 14_2. The bit lines may be arranged to satisfy the connection relation of the two bit lines described above. As a result, since the page buffer 14 and the bit lines have the arrangement and connection relations described above, it is possible to increase a design rule of connection conductive lines of the logic structure 20 which electrically connect the page buffer 14 to the bit lines. This is because the connection conductive lines are divided into respective groups corresponding to positions of the first and second page buffers 14_1, 14_2 of the page buffer 14. This will be described in more detail below with reference to semiconductor memory devices according to embodiments of the inventive concepts. In addition, the above mentioned relationships among the page buffer 14, the bit lines and the connection conductive lines that connect the page buffer 14 to the bit lines may be applied to other elements (e.g., word lines, or upper interconnections formed on the memory structure 20), other logic circuits (e.g., the decoders, the control circuit, or an input/output circuit for interfacing with an external device) connected to the other elements, and other connection conductive lines connecting the other elements to the other logic circuits. Each of the memory cells of the memory structure 20 may include a charge storage-type memory element (e.g., a flash memory device), or a variable resistance-type memory element (e.g., a phase-change random access memory (PRAM), a resistive random access memory (ReRAM) or a magnetic random access memory (MRAM)). In some embodiments, the memory cells may have a NAND array structure. However, the inventive concepts are not limited thereto. In other embodiment, the memory cells may have another array structure (e.g., a NOR array structure or an AND array structure). FIG. 4 is a circuit diagram illustrating an embodiment of a memory structure that may be included in semiconductor memory devices according to some embodiments of the inventive concepts. Referring to FIG. 4, the memory structure of the semiconductor memory device may include at least one common source line CSL, a plurality of bit lines BL, and a plurality of cell strings CSTR that are disposed between the common source line CSL and the bit lines BL. The bit lines BL may be two-dimensionally arranged. A plurality of cell strings CSTR may be connected in parallel to each of the bit lines BL. The cell strings CSTR may be connected in common to the common source line CSL. In other words, cell strings CSTR may be disposed between one of the common source lines CSL and multiple of the bit lines BL. In some embodiments, a plurality of common source lines CSL may be provided. In such embodiments, the common source lines CSL may be two-dimensionally arranged. In such embodiments, the same voltage may be applied to all of the common source lines CSL or, alternatively, the common source lines CSL may be electrically controlled independently of each other. Each of the cell strings CSTR may include a ground selection transistor GST that is connected to the corresponding common source line CSL, a string selection transistor SST that is connected to the corresponding bit line BL, and a plurality of memory cell transistors MCT that are disposed between the ground and string selection transistors GST and SST. The ground selection transistor GST, the memory cell transistors MCT, and the string selection transistor SST may be electrically connected in series to each other. The common source line CSL may be connected in common to sources of the ground selection transistors GST. A ground selection line GSL, a plurality of word lines WLO to WL3 and a string selection line SSL, which are disposed between the common source line CSL and the bit lines BL, may be used as gate electrodes of the ground selection transistor GST, the memory cell transistors MCT and the string selection transistor SST, respectively. Each of the memory cell transistors MCT may include a data storage element. FIG. 5A is a plan view illustrating a semiconductor memory device according to an embodiment of the inventive concepts. FIG. 5B is a cross-sectional view taken along a line A-A′ of FIG. 5A. FIG. 5C is a cross-sectional view corresponding to the line A-A′ of FIG. 5A that illustrates a modified embodiment of the semiconductor memory device of FIG. 5A. Referring to FIGS. 5A and 5B, a substrate 100 may be provided. The substrate 100 may include a circuit region CR and a connection region that is adjacent edges of the circuit region CR. The connection region may include the first connection region ER1 and the second connection region ER2 that are described above with reference to FIGS. 3A and 3B. In other words, the first and second connection regions ER1 and ER2 may be provided adjacent the respective sides of the circuit region CR that are opposite each other in the second direction D2. The third and fourth connection regions ER3 and ER4 that are described above with reference to FIGS. 3A and 3B are omitted from FIG. 5A to simplify the drawing and associated description, but it will be understood that the third and fourth connection regions ER3 and ER4 may be included in the semiconductor memory device of FIGS. 5A and 5B. The substrate 100 may be a semiconductor substrate having a first conductivity type (e.g., a P-type). The semiconductor substrate may include, for example, at least one of a single-crystalline silicon layer, a silicon-on-insulator (SOI), a silicon layer formed on a silicon-germanium (SiGe) layer, a single-crystalline silicon layer formed on an insulating layer, or a poly-crystalline silicon layer formed on an insulating layer. A logic structure 10 and a memory structure 20 may be sequentially stacked on the substrate 100. The logic structure 10 may include logic circuits such as the row and column decoders 12 and 16 of FIG. 1, the page buffer 14 of FIG. 1, and the control circuit 18 of FIG. 1. The logic circuits may be extend throughout the entire top surface of the substrate 100 (i.e., top surfaces of the circuit region CR and the connection region). In some embodiments, at least the page buffer 14 may be provided on the circuit region CR. The page buffer 14 may include the first page buffer 14_1 that is adjacent the first connection region ER1 and the second page buffer 14_2 that is adjacent the second connection region ER2, as described above with reference to FIGS. 3A and 3B. As shown in FIG. 5B, the first page buffer 14_1 may include a plurality of first logic transistors TR1 and the second page buffer 14_2 may include a plurality of second logic transistors TR2. It will be appreciated that only a few representative transistors are illustrated in FIG. 5B and that other elements of the logic structure 10 may also include transistors and other elements that are not shown in FIG. 5B. The first and second logic transistors TR1 and TR2 may be formed on active regions that are defined by a device isolation layer 102. In addition, the logic structure 10 may further include a plurality of first connection conductive lines L1 that are connected to the first logic transistors TR1 and a plurality of second connection conductive lines L2 that are connected to the second logic transistors TR2. The first connection conductive lines L1 extend onto the first connection region ER1, and the second connection conductive lines L2 extend onto the second connection region ER2. The first and second connection conductive lines L1 and L2 will be described in more detail below. The logic structure 10 may further include lower interconnections 120, lower contacts 122, and a lower insulating layer. The lower interconnections 120 and the lower contacts 122 may be electrically connected to the logic circuits (e.g., the first and second logic transistors TR1 and TR2), and the lower insulating layer may cover the lower interconnections 120 and the lower contacts 122. The lower insulating layer may include first, second and third lower insulating layers 112, 114 and 116. However, the inventive concepts are not limited thereto. Each of the first to third lower insulating layers 112, 114 and 116 may include, for example, at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer. The memory structure 20 may be disposed on the third lower insulating layer 116 of the circuit region CR. The memory structure 20 may include a semiconductor layer 130, stack structures ST that are on the semiconductor layer 130, and active pillars AP that penetrate the stack structures ST. The semiconductor layer 130 may not extend into the connection region. Consequently, portions of the third lower insulating layer 116 that are in the first and second connection regions ER1 and ER2 may be exposed by the semiconductor layer 130. The semiconductor layer 130 may include a single-crystalline silicon layer or a poly-crystalline silicon layer. The semiconductor layer 130 may have the first conductivity type (e.g., a P-type). A buffer insulating layer 152 may be provided between the semiconductor layer 130 and the stack structures ST. For example, the buffer insulating layer 152 may include a silicon oxide layer. The stack structures ST may include insulating patterns 155 and electrodes that are alternately stacked on the buffer insulating layer 152. The electrodes may include a ground selection line GSL, word lines WL and a string selection line SSL which are sequentially stacked on the semiconductor layer 130 with the insulating patterns 155 therebetween. The insulating patterns 155 may include silicon oxide. The electrodes GSL, WL and SSL may include doped silicon, a metal (e.g., tungsten), a metal nitride, a metal silicide, or any combination thereof. The ground selection line GSL, the word lines WL, and the string selection line SSL may be electrically connected to the row decoder 12 that is described above with reference to FIG. 1. The row decoder 12 may apply a voltage to each of the ground selection line GSL, the word lines WL and the string selection line SSL. Nine word lines WL are illustrated in FIG. 5B. However, the inventive concepts are not limited thereto. The number of the word lines WL may be smaller or larger than nine. One end (e.g., a bottom end) of each of the active pillars AP may be physically and/or electrically connected to the semiconductor layer 130. The active pillars AP may be arranged in columns that extend in a first direction (direction D1 in FIG. 5A). In some embodiments, the active pillars AP may be arranged in a zigzag pattern when viewed from a plan view. Alternatively, the active pillars AP may be arranged in rows and columns that form a matrix when viewed from a plan view. Each of the active pillars AP may include a semiconductor pattern. The semiconductor pattern may include silicon or silicon-germanium which is doped with dopants of the first conductivity type. Each of the active pillars AP may have a hollow cylindrical shape (e.g., a macaroni shape), so an inner hole may be defined in each of the active pillars AP. The inner hole of each of the active pillars AP may be filled with a filling insulation layer 158. The filling insulation layer 158 may be, for example, silicon oxide. A conductive pad D may be provided on a top end of each active pillar AP. The conductive pad D may be a semiconductor material doped with dopants or may be another conductive material. The memory structure 20 may further include a data storage element DS disposed between each of the active pillars AP and the electrodes GSL, WL and SSL. The data storage element DS may include a blocking insulating layer that is adjacent the electrodes GSL, WL and SSL, a tunnel insulating layer that is adjacent the active pillar AP, and a charge storage layer that is between the blocking insulating layer and the tunnel insulating layer. The blocking insulating layer may include a hafnium oxide layer, an aluminum oxide layer, and/or a silicon oxide layer. A stacking order of the hafnium oxide layer, the aluminum oxide layer and/or the silicon oxide layer may be variously modified. The tunnel insulating layer may include silicon oxide. The charge storage layer may include a charge trap layer or an insulating layer including conductive nano particles. The charge trap layer may include, for example, silicon nitride. Data stored in the data storage layer DS may be changed using Fowler-Nordheim tunneling that occurs in response to application of a voltage differential between the active pillar AP including the semiconductor pattern and the electrodes. Alternatively, the data storage element DS may include a thin layer capable of storing data based on another operating principle, e.g., a thin layer for a phase-change memory or a thin layer for a variable resistance memory. A plurality of stack structures ST may be provided. Each stack structure ST may extend in the first direction D1 and the stack structures ST may be spaced apart from each other in a second direction D2 that intersects (e.g., is perpendicular to) the first direction D1. Common source regions 170 may be provided in the semiconductor layer 130 between adjacent ones of the stack structures ST. Each common source region 170 may extend in the first direction D1. The common source regions 170 may have a second conductivity type (e.g., an N-type). Common source plugs 173 may be provided between adjacent stack structures ST and may connect to respective ones of the common source regions 170. An isolation insulating layer 175 may be provided on either side of each common source plug 173 to isolate each common source plug from the stack structures ST adjacent thereto. A ground voltage may be applied to the common source regions 170 through the common source plugs 173 during a sensing or program operation of the semiconductor memory device. In some embodiments, each common source plug 173 may extend in the first direction D1 and may have a substantially uniform upper width in the second direction. In such embodiments, the isolation insulating layer 175 may have a spacer shape and may be on a sidewall of each of the stack structures ST. In other words, the isolation insulating layers 175 that are provided between adjacent stack structures ST may face each other with the common source plug 173 therebetween. In other embodiments, the isolation insulating layer 175 may fill a space between adjacent stack structures ST, and the common source plug 173 (or a plurality of common source plugs 173) may have a pillar shape and may penetrate the isolation insulating layer 175 so as to be locally connected to the common source region 170. A first upper insulating layer 140 may be provided on the first and second connection regions ER1 and ER2 to cover a sidewall of the semiconductor layer 130 and an exposed top surface of the third lower insulating layer 116. A second upper insulating layer 160 may be provided on the first upper insulating layer 140 to cover outer sidewalls of the stack structures ST. While not shown in the drawings, end portions of the electrodes GSL, WL and SSL of the stack structure ST may have a stepped structure. A third upper insulating layer 180 may be provided on the stack structures ST and the second upper insulating layer 160, and a fourth upper insulating layer 190 may be provided on the third upper insulating layer 180. Bit line contacts 185 may penetrate the third upper insulating layer 180. Bit lines BL may be provided in the fourth upper insulating layer 190. The bit lines BL may be electrically connected to the active pillars AP through the bit line contacts 185 and the conductive pads D. Each of the first to fourth upper insulating layers 140, 160, 180 and 190 may include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer. According to an embodiment of the inventive concepts, a first pair of adjacent bit lines BL may be electrically connected to the first page buffer 14_1, and a second pair of adjacent bit lines BL may be electrically connected to the second page buffer 14_2. In other words, the bit lines BL may be arranged in pairs where each pair includes a first bit line BL1 and a second bit line BL2 that are adjacent each other. The first bit lines BL1 are electrically connected to the first page buffer 14_1 and the second bit lines BL2 are electrically connected to the second page buffer 14_2. The bit lines BL may be configured such that the first bit lines BL1 and the second bit lines BL2 are alternately and repeatedly arranged along the first direction D1. End portions of the first bit lines BL1 may extend onto the first connection region ER1 and may or may not extend onto the second connection region ER2. The first bit lines BL1 may be electrically connected to the first logic transistors TR1 of the first page buffer 14_1 through first connection contacts C1 and the first connection conductive lines L1. On the first connection region ER1, each of the first connection conductive lines L1 may vertically overlap a corresponding one of the first bit lines BL1. The first connection contacts C1 may be disposed on the first connection region ER1 and may penetrate the first to third upper insulating layers 140, 160 and 180 and the second and third lower insulating layers 114 and 116. In some embodiments, first ends of the first connection contacts C1 may directly contact respective ones of the first bit lines BL1, and second ends of the first connection contacts C1 may directly contact respective ones of the first connection conductive lines L1. Likewise, end portions of the second bit lines BL2 may extend onto the second connection region ER2 and may or may not extend onto the first connection region ER1. The second bit lines BL2 may be electrically connected to the second logic transistors TR2 of the second page buffer 14_2 through second connection contacts C2 and the second connection conductive lines L2. On the second connection region ER2, each of the second connection conductive lines L2 may vertically overlap a corresponding one of the second bit lines BL2. The second connection contacts C2 may be disposed on the second connection region ER2 and may penetrate the first to third upper insulating layers 140, 160 and 180 and the second and third lower insulating layers 114 and 116. In some embodiments, first ends of the second connection contacts C2 may directly contact respective ones of the second bit lines BL2, and second ends of the second connection contacts C2 may directly contact respective ones of the second connection conductive lines L2. The connection structure 30 described with reference to FIGS. 2, 3A and 3B may include the first and second connection contacts C1 and C2. The bit lines BL may have substantially the same width and may be spaced apart from each other by substantially equal distances. For example, each of the bit lines BL may have a first width w1, and adjacent bit lines BL may be spaced apart from each other by a first distance d1. In other words, the bit lines BL may have a first pitch defined as a sum of the first width w1 and the first distance d1. First end portions of the bit lines BL may be aligned with each other along the first direction D1 on the first connection region ER1, and second end portions of the bit lines BL may be aligned with each other along the first direction D1 on the second connection region ER2. In the present embodiment, both end portions (i.e., the first and second end portions) of each of the bit lines BL may extend onto the first and second connection regions ER1 and ER2, respectively. However, the inventive concepts are not limited thereto. In other embodiments, one end portion of each of the first bit lines BL1 may not extend onto the first connection region ER1, and/or one end portion of each of the second bit lines BL2 may not extend onto the second connection region ER2. For example, as shown in FIG. 5C, in another example embodiment one end portion (the left end portion) of each of the second bit lines BL2 may extend onto the first connection region ER1, but the other end portion of each of the second bit lines BL2 may not extend onto the second connection region ER2. In such an embodiment, the second connection conductive lines L2 may not extend onto the second connection region ER2. In this case, the second bit lines BL2 may be electrically connected to the second connection conductive lines L2 through second connection contacts C2 that penetrate the isolation insulating layer 175 that is disposed between adjacent stack structures ST. In other words, the second connection contacts C2 may penetrate the third upper insulating layer 180, the isolation insulating layer 175, the semiconductor layer 130, the third lower insulating layer 116, and the second lower insulating layer 114 on the circuit region CR. Even though not shown in the drawings, if one end portion of each of the first bit lines BL1 extends onto the second connection region ER2 but the other end portion of each of the first bit lines BL1 does not extend onto the first connection region ER1, the first bit lines BL1 may be electrically connected to the first connection conductive lines L1 through first connection contacts C1 that are disposed between adjacent stack structures ST. Referring again to FIGS. 5A and 5B, according to embodiments of the inventive concepts, a second distance d2 between adjacent ones of the first connection conductive lines L1 may be greater than the first distance dl between adjacent ones of the bit lines BL. Likewise, a third distance d3 between adjacent ones of the second connection conductive lines L2 may be greater than the first distance d1 between adjacent ones of the bit lines BL. For example, each of the second and third distances d2 and d3 may be substantially equal to twice the first distance d1. In some embodiments, the second distance d2 may be substantially equal to the third distance d3. However, the inventive concepts are not limited thereto. A width of each of the first and second connection conductive lines L1 and L2 may be greater than the first width w1 of the bit lines BL. In other words, each of the first connection conductive lines L1 may have a second width w2 that is greater than the first width w1, and each of the second connection conductive lines L2 may have a third width w3 that is greater than the first width w1. In some embodiments, each of the second and third widths w2 and w3 may be substantially equal to twice the first width w1. In other embodiments, each of the second and third widths w2 and w3 may be greater than twice the first width w1 and less than three times the first width w1. In some embodiments, the second width w2 may be substantially equal to the third width w3. However, the inventive concepts are not limited thereto. As a result, a second pitch of the first connection conductive lines L1 may be greater than the first pitch of the bit lines BL, and a third pitch of the second connection conductive lines L2 may be greater than the first pitch of the bit lines BL. In some embodiments, the second pitch may be substantially equal to the third pitch. Here, the second pitch of the first connection conductive lines L1 may be defined as a sum of the second width w2 and the second distance d2, and the third pitch may be defined as a sum of the third width w3 and the third distance d3. It will also be appreciated the first and second bit lines BL1, BL2 and/or the first and second connection conductive lines L1, L2 need not have constant widths, as will be described in greater detail below. In such cases, an average width of one or more of the first connection conductive lines L1 may be greater than an average width of one or more of the first bit lines BL1, and/or an average width of one or more of the second connection conductive lines L2 may be greater than an average width of one or more of the second bit lines BL2. For bit lines (or connection conductive lines) that comprise multiple segments, where each segment has a constant width, the average width may be determined as the sum of the width of each segment multiplied by the length of the segment, which total is then divided by the number of segments. For example, if the bit line has a widened area at either end thereof that has a width of 3 microns, where each widened area is 2 microns in length, and a line portion connecting the two widened end portions, where the line portion has a width of 1 micron and a length of 20 microns, the average width for the bit line would be [(3*2)+(1*20)+(3*2)]/24=32/24=1.33. The connection conductive lines L1 and L2 may have a physical property capable of preventing a process defect (e.g., a hillock defect) at the maximum temperature (hereinafter, referred to as “a process critical temperature”) of processes for forming the memory structure 20 and/or the bit lines BL. In other word, the connection conductive lines L1 and L2 may be formed of conductive material(s) having a heat-resistance property at the process critical temperature. For example, the connection conductive lines L1 and L2 may include at least one material (e.g., tungsten) having a melting point that is higher than the process critical temperature. A resistivity of the conductive material of the bit lines BL may be lower than that of the conductive material of the connection conductive lines L1 and L2. For example, the conductive material of the bit lines BL may include a low resistivity material (e.g., copper or aluminum) that may cause the process defect at a temperature lower than the process critical temperature. Since the bit lines BL are formed after the memory structure 20, a low resistivity material having a low melting point may be used as the conductive material of the bit lines BL. The resistivity of the connection conductive lines L1 and L2 may be higher than that of the bit lines BL due to the above mentioned limitations of the manufacturing process. This may cause deterioration of electrical characteristics of the semiconductor memory device. However, according to embodiments of the inventive concepts, the page buffer 14 may be divided into the first and second page buffers 14_1 and 14_2 that are adjacent both sides of the memory structure 20, and thus it is possible to increase the widths of the connection conductive lines L1 and L2 that connect the bit lines BL to the page buffer 14. As a result, the resistance characteristics of the connection conductive lines L1 and L2 may be improved to improve the electrical characteristics of the semiconductor memory device. In addition, since the widths of the connection conductive lines L1 and L2 are increased, it is possible to more easily arrange and form the connection contacts C1 and C2 that electrically connect the connection conductive lines L1 and L2 to the bit lines BL. As a result, it is possible to more easily realize a highly integrated semiconductor memory device. A method of manufacturing a semiconductor memory device according to an embodiment of the inventive concepts will be described hereinafter. FIGS. 6A to 12A are plan views illustrating a method of manufacturing the semiconductor memory device. FIGS. 6B to 12B are cross-sectional views taken along lines A-A′ of FIGS. 6A to 12A, respectively. Referring to FIGS. 6A and 6B, a substrate 100 including a circuit region CR and a connection region may be provided. The connection region may include a first connection region ER1 and a second connection region ER2 which are provided on opposed sides of the circuit region CR. The substrate 100 may be a semiconductor substrate having a first conductivity type (e.g., a P-type). The semiconductor substrate 100 may include at least one of a single-crystalline silicon layer, a silicon-on-insulator (SOI), a silicon layer formed on a silicon-germanium (SiGe) layer, a single-crystalline silicon layer formed on an insulating layer, or a poly-crystalline silicon layer formed on an insulating layer. A logic structure 10 may be formed on the substrate 100. The logic structure 10 may include a plurality of logic transistors that form a logic circuit. The logic transistors may be formed on active regions in the substrate 100 that are defmed by a device isolation layer 102. On the circuit region CR, the logic transistors may include first logic transistors TR1 constituting a first page buffer 14_1 and second logic transistors TR2 constituting a second page buffer 14_2. The first logic transistors TR1 may be adjacent the first connection region ER1, and the second logic transistors TR2 may be adjacent the second connection region ER2. A lower insulating layer may be formed on the substrate 100 to cover the logic transistors. The lower insulating layer may include first, second and third lower insulating layers 112, 114 and 116. Each of the first to third lower insulating layers 112, 114 and 116 may include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer. Lower interconnections 120 and lower contacts 122 may be formed in the lower insulating layer that are connected to the logic transistors TR1, TR2. In addition, first connection conductive lines L1 and second connection conductive lines L2 may be formed in the lower insulating layer. The first connection conductive lines L1 may be electrically connected to the first logic transistors TR1, and the second connection conductive lines L2 may be electrically connected to the second logic transistors TR2. The first connection conductive lines L1 may extend onto the first connection region ER1, and the second connection conductive lines L2 may extend onto the second connection region ER2. Each of the first connection conductive lines L1 may have a second width w2, and adjacent ones of the first connection conductive lines L1 may be spaced apart from each other by a second distance d2. Each of the second connection conductive lines L2 may have a third width w3, and adjacent ones of the second connection conductive lines L2 may be spaced apart from each other by a third distance d3. The first connection conductive lines L1 and the second connection conductive lines L2 may be arranged in patterns having pitches that are equal to or greater than the minimum pitch realized by a photolithography process. In other word, the first and second connection conductive lines L1 and L2 may be formed using a single-patterning technique. For example, a conductive layer may be formed on the first lower insulating layer 112, and the conductive layer may be patterned to form the first and second connection conductive lines L1 and L2. The conductive layer may include, for example, tungsten. In the present embodiment, the first and second connection conductive lines L1 and L2 are formed on the first lower insulating layer 112. However, embodiments of the inventive concepts are not limited thereto. Referring to FIGS. 7A and 7B, a semiconductor layer 130 may be formed on the third lower insulating layer 116. In some embodiments, the semiconductor layer 130 may comprise a silicon epitaxial layer and may have a single-crystalline structure. In such embodiments, a contact hole (not shown) may be formed that penetrates the first to third lower insulating layers 112, 114 and 116. The contact hole may expose the substrate 100. The semiconductor layer 130 may be formed to fill the contact hole and to cover the third lower insulating layer 116 by a selective epitaxial growth (SEG) method or a solid phase epitaxial (SPE) method. Thereafter, the semiconductor layer 130 disposed in the contact hole may be removed, and then the contact hole may be filled with an insulating layer. In some embodiments, the semiconductor layer 130 may be a poly-crystalline silicon layer. The semiconductor layer 130 disposed on the first and second connection regions ER1 and ER2 may be removed to expose the third lower insulating layer 116. Next, a first upper insulating layer 140 may be formed on the third lower insulating layer 116 of the first and second connection regions ER1 and ER2 so as to cover sidewalls of the semiconductor layer 130. Referring to FIGS. 8A and 8B, a buffer insulating layer 152 may be formed on the semiconductor layer 130 and on the first upper insulating layer 140. For example, the buffer insulating layer 152 may include a silicon oxide layer. The buffer insulating layer 152 may be formed by, for example, a thermal oxidation process. A thin-layer structure 150 may be formed on the buffer insulating layer 152. The thin-layer structure 150 may include sacrificial layers 153 and insulating layers 154 which are alternately stacked on the buffer insulating layer 152. Each of the insulating layers 154 may include, for example, a silicon oxide layer. The sacrificial layers 153 may include a material having a wet etching characteristic that is different from the wet etching characteristics of the buffer insulating layer 152 and the insulating layers 154. Each of the sacrificial layers 153 may include, for example, a silicon nitride layer, a silicon oxynitride layer, a poly-crystalline silicon layer, or a poly-crystalline silicon-germanium layer. Each of the sacrificial layers 153 and insulating layers 154 may be formed by, for example, a chemical vapor deposition (CVD) method. Referring to FIGS. 9A and 9B, vertical holes may be formed to penetrate the thin-layer structure 150 on the circuit region CR. The vertical holes may expose the semiconductor layer 130. Active pillars AP may be formed in the respective vertical holes. One end of each of the active pillars AP may be connected to the semiconductor layer 130. Each of the active pillars AP may include a semiconductor pattern. The semiconductor pattern may include silicon or silicon-germanium, which is doped with dopants of the first conductivity type. Each of the active pillars AP may have a hollow cylindrical shape (e.g., a macaroni shape), so an inner hole may be defined in each of the active pillars AP. The inner hole of each of the active pillars AP may be filled with a filling insulation layer 158. The insulating layers 154 and the sacrificial layers 153 disposed on the first and second connection regions ER1 and ER2 may be removed. Sidewalls of the insulating layers 154 and sacrificial layers 153 that are adjacent the first and second connection regions ER1 and ER2 are vertically aligned with each other in FIGS. 9A and 9B for the purpose of ease and convenience in illumination. However, the inventive concepts are not limited thereto. In particular, end portions of the insulating layers 154 and sacrificial layers 153 may have a stepped structure. A second upper insulating layer 160 may be formed on the first and second connection regions ER1 and ER2 to cover the sidewalls of the insulating layers 154 and sacrificial layers 153. In the above descriptions, the semiconductor layer 130 was previously etched in the process described with reference to FIGS. 7A and 7B. However, the inventive concepts are not limited thereto. In other embodiments, the semiconductor layer 130 may be etched when the insulating layers 154 and the sacrificial layers 153 of the first and second connection regions ER1 and ER2 are removed. In this case, the first upper insulating layer 140 may be omitted, and the second upper insulating layer 160 may also cover the sidewalls of the semiconductor layer 130. Referring to FIGS. 10A and 10B, the thin-layer structure 150 may be patterned to form trenches 165 that extend in the first direction D1. The trenches 165 may expose the semiconductor layer 130. The trenches 165 may divide the thin-layer structure 150 into segments that are separated from each other in the second direction D2. The patterned insulating layers 154 may be defined as insulating patterns 155. The sacrificial layers 153 that are exposed by the trenches 165 may be selectively removed to form recess regions RS. The recess regions RS may correspond to empty regions that are formed by the removal of the sacrificial layers 153 and may be defined by the active pillars AP and the insulating patterns 155. If the sacrificial layers 153 include silicon nitride layers or silicon oxynitride layers, the sacrificial layers 153 may be removed using an etching solution including phosphoric acid. Referring to FIGS. 11A and 11B, a data storage element DS may be formed in each recess region RS through the trenches 165. The data storage element DS may include a blocking insulating layer, a tunnel insulating layer, and a charge storage layer that is disposed between the blocking insulating layer and the tunnel insulating layer. A conductive layer (not shown) may be formed to fill the recess regions RS having the data storage element DS. The conductive layer may be formed of at least one of a doped poly-crystalline silicon layer, a metal layer (e.g., a tungsten layer), or a metal nitride layer. In an embodiment, the conductive layer may include a metal nitride layer and a metal layer disposed on the metal nitride layer. The conductive layer may be formed by, for example, an atomic layer deposition (ALD) method. At least a portion of the data storage element DS may be formed on sidewalls of the vertical holes before the formation of the active pillars AP in the process described with reference to FIGS. 9A and 9B. Next, portions of the conductive layer that are outside the recess regions RS (e.g., in the trenches 165) may be removed to form electrodes GSL, WL and SSL in the respective recess regions RS and to expose the semiconductor layer 130. The insulating patterns 155 and the electrodes GSL, WL and SSL may constitute a stack structure ST. Dopant ions of a second conductivity type may be implanted into the exposed semiconductor layer 130 to form common source regions 170. Conductive pads D may be formed on top end portions of the active pillars AP. Referring to FIGS. 12A and 12B, common source plugs 173 may be formed in the trenches 165. The common source plugs 173 may include a metal (e.g., tungsten, copper, or aluminum). The common source plugs 173 may be electrically connected to the common source regions 170. One or more isolation insulating layers 175 may be formed between the common source plug 173 and inner sidewalls of the trench 165. A third upper insulating layer 180 may be formed on the stack structures ST and the second upper insulating layer 160. Bit line contacts 185 may be formed to penetrate the third upper insulating layer 180 to electrically connect to the respective active pillars AP. First connection contacts C1 may be formed on the first connection region ER1. The first connection contacts C1 may penetrate the third upper insulating layer 180, the second upper insulating layer 160, the first upper insulating layer 140, the third lower insulating layer 116, and the second lower insulating layer 114 to connect to the first connection conductive lines L1 on the first connection region ER1. Second connection contacts C2 may be formed on the second connection region ER2. The second connection contacts C2 may penetrate the third upper insulating layer 180, the second upper insulating layer 160, the first upper insulating layer 140, the third lower insulating layer 116, and the second lower insulating layer 114 to connect to the second connection conductive lines L2 on the second connection region ER2. Referring again to FIGS. 5A and 5B, a fourth upper insulating layer 190 may be formed on the third upper insulating layer 180. Bit lines BL may be formed in the fourth upper insulating layer 190. First and second end portions of each of the bit lines BL may extend onto the first and second connection regions ER1 and ER2, respectively. A first of a pair of adjacent bit lines BL may be connected to the first connection contact C1, and the second of the pair of adjacent bit lines BL may be connected to the second connection contact C2. In other words, the bit lines BL may include first bit lines BL1 that are connected to the first page buffer 14_1 through the first connection contacts C1 and the first connection conductive lines L1, and second bit lines BL2 that are connected to the second page buffer 14_2 through the second connection contacts C2 and the second connection conductive lines L2. Each of the bit lines BL may have a first width w1, and adjacent bit lines BL may be spaced apart from each other by a first distance d1. The bit lines BL may be formed to have a pitch smaller than the minimum pitch realized by a photolithography process. To achieve this, the bit lines BL may be formed using a double-pattering technique. In an embodiment, grooves may be formed in the fourth upper insulating layer 190, and the bit lines BL may be formed in the grooves, respectively. The grooves in the fourth upper insulating layer 190 may be formed using the double-patterning technique. The bit lines BL may include a conductive material (e.g., copper or aluminum). Hereinafter, the method of forming the bit lines BL will be described in more detail with reference to FIGS. 13A to 13D. FIGS. 13A to 13D are cross-sectional views taken along a direction intersecting the bit lines to illustrate a method of forming the bit lines. Referring to FIG. 13A, the fourth upper insulating layer 190 may be formed on the third upper insulating layer 180, and a hard mask layer may be formed on the fourth upper insulating layer 190. In some embodiments, the hard mask layer may include a first mask layer 60 disposed on the fourth upper insulating layer 190 and a second mask layer 50 disposed between the fourth upper insulating layer 190 and the first mask layer 60. The second mask layer 50 may be formed of a material having an etch selectivity with respect to the fourth upper insulating layer 190. For example, the second mask layer 50 may include poly-silicon. The first mask layer 60 may be formed of a material having an etch selectivity with respect to the second mask layer 50. For example, the first mask layer 60 may include an amorphous carbon layer (ACL). Although not shown, the first mask layer 60 may further include a silicon-containing material (e.g., SiON). In the present embodiment, the hard mask layer may have a double-layered structure. However, the inventive concepts are not limited thereto. Sacrificial patterns 70 may be formed on the first mask layer 60. In some embodiments, a sacrificial layer may be formed on the first mask layer 60 and a patterning process may be performed on the sacrificial layer to form the sacrificial patterns 70. For example, the sacrificial layer may include a spin-on-hardmask (SOH) layer. Each of the sacrificial patterns 70 may have a line shape extending in the second direction D2. The sacrificial patterns 70 may be spaced apart from each other in a first direction D1 that is perpendicular to the second direction D2. The sacrificial patterns 70 may have substantially the same width a1 and may be spaced apart from each other by a distance a2. In other words, the sacrificial patterns 70 may have a pitch defined as a sum of the width al and the distance a2. The distance a2 between the sacrificial patterns 70 may be greater than the width al of the sacrificial patterns 70. The pitch of the sacrificial patterns 70 may, for example, correspond to the minimum pitch realized by a photolithography process. Spacers 75 may be formed on sidewalls of the sacrificial patterns 70. In some embodiments, the spacers 75 may be formed by forming a spacer layer on the fourth upper insulating layer 190 to conformally cover the sacrificial patterns 70, and then performing a blanket anisotropic etching process on the spacer layer until the first mask layer 60 is exposed to form the spacers 75. The spacer layer may include, for example, a silicon oxide layer. The spacer layer may be formed by an atomic layer deposition (ALD) process. In an embodiment, a width a3 of each of the spacers 75 may correspond to about a third of the distance a2 between the sacrificial patterns 70. However, the inventive concepts are not limited thereto. Referring to FIG. 13B, the sacrificial patterns 70 may be removed. In some embodiments, the sacrificial patterns 70 may be removed by an etching process that uses an etch recipe that has etch selectivity with respect to the spacers 75 and the first mask layer 60. Subsequently, the first mask layer 60 may be etched using the spacers 75 as etch masks to form first mask patterns 60a. A distance between the first mask patterns 60a may be substantially equal to the width al of the sacrificial patterns 70. Referring to FIG. 13C, the second mask layer 50 may be etched using the first mask patterns 60a as etch masks to form second mask patterns 50a. The second mask patterns 50a may have the substantially same shapes as the first mask patterns 60a when viewed from a plan view. The spacers 75 may be removed during the etching process for forming the second mask patterns 50a. Alternatively, the spacers 75 may be removed before the formation of the second mask patterns 50a. The first and second mask patterns 60a and 50a may expose the fourth upper insulating layer 190. Referring to FIG. 13D, the fourth upper insulating layer 190 may be etched using the first and second mask patterns 60a and 50a as etch masks to form grooves 192 in the fourth upper insulating layer 190. Subsequently, the first and second mask patterns 60a and 50a may be removed. Thereafter, the grooves 192 may be filled with a conductive material (e.g., copper or aluminum), thereby forming the bit lines BL. Thus, it is possible to form the bit lines BL having a pitch smaller than the minimum pitch realized by the photolithography process. Since the bit lines BL are formed using the double-patterning technique described above, processes of manufacturing the semiconductor memory device may be complex. On the contrary, the connection conductive lines that are connected to the bit lines BL may be divided into the first connection conductive lines L1 and the second connection conductive lines L2, so design rules for the formation of the first and second connection conductive lines L1 and L2 may be increased. As a result, the connection conductive lines L1 and L2 may be more easily formed and may not require a more expensive photolithography apparatus and/or a complex double-patterning technique. Thus, the processes of manufacturing the semiconductor memory device may be simplified and a manufacturing cost of the semiconductor memory device may be reduced. FIG. 14A is a plan view illustrating a semiconductor memory device according to another embodiment of the inventive concepts. FIG. 14B is a cross-sectional view taken along a line A-A′ of FIG. 14A. In the present embodiment, the same elements as described in the above embodiments will be indicated by the same reference numerals or the same reference designators, and descriptions of these elements will only be mentioned briefly or may be omitted altogether. Referring to FIGS. 14A and 14B, the semiconductor memory device of the present embodiment may further include connection conductive pads that are provided on the second lower insulating layer 114. The connection conductive pads may include first connection conductive pads P1 that are provided on the first connection region ER1, and second connection conductive pads P2 that are provided on the second connection region ER2. The first connection contacts C1 may directly contact the first connection conductive pads P1, and the second connection contacts C2 may directly contact the second connection conductive pads P2. A width w4 of each of the first and second connection conductive pads P1 and P2 may be greater than the width w1 of the bit lines BL and the widths w2 and w3 of the connection conductive lines L1 and L2. Since the connection conductive pads P1 and P2 having the relatively large widths are formed between the bit lines BL and the connection conductive lines L1 and L2, alignment margins for the connection contacts C1 and C2 may be improved and defects (e.g., a bridge defect) that are caused by misalignment may be reduced or prevented. First lower connection contacts 124a may be disposed in the second lower insulating layer 114 of the first connection region ER1 to electrically connect the first connection conductive pads P1 to the first connection conductive lines L1. Second lower connection contacts 124b may be disposed in the second lower insulating layer 114 of the second connection region ER2 to electrically connect the second connection conductive pads P2 to the second connection conductive lines L2. Other elements of the semiconductor memory device according to the present embodiment may be the same as or similar to corresponding elements of the semiconductor memory device described with reference to FIGS. 5A and 5B. FIG. 15 is a plan view illustrating a semiconductor memory device according to still another embodiment of the inventive concepts. Even though not shown in the drawings, a cross-sectional view corresponding to a line A-A′ of FIG. 15 may be the same as or similar to FIG. 5B. In the present embodiment, the same elements as described in the above embodiments will be indicated by the same reference numerals or the same reference designators, and descriptions of these elements will only be mentioned briefly or may be omitted altogether. Referring to FIG. 15, first end portions of the first bit lines BL1 may extend onto the first connection region ER1, but second end portions of the first bit lines BL1 may not extend onto the second connection region ER2. On the first connection region ER1, a width of the first end portion of each of the first bit lines BL1 may be greater than the first width w1 of a line portion of each of the first bit lines BL1. Similarly, first end portions of the second bit lines BL2 may not extend onto the first connection region ER1, but second end portions of the second bit lines BL2 may extend onto the second connection region ER2. On the second connection region ER2, a width of the second end portion of each of the second bit lines BL2 may be greater than the first width w1 of a line portion of each of the second bit lines BL2. On the first connection region ER1, shapes of the first connection conductive lines L1 may correspond to the shapes of the first bit lines BL1. In other words, a width of an end portion of each of the first connection conductive lines L1 may be greater than the second width w2 of a line portion of each of the first connection conductive lines L1. Likewise, on the second connection region ER2, shapes of the second connection conductive lines L2 may correspond to the shapes of the second bit lines BL2. In other words, a width of an end portion of each of the second connection conductive lines L2 may be greater than the third width w3 of a line portion of each of the second connection conductive lines L2. Thus, the alignment margins of the connection contacts C1 and C2 may be improved without additional connection conductive pads P1 and P2. Other elements of the semiconductor memory device according to the present embodiment may be the same as or similar to corresponding elements of the semiconductor memory device described with reference to FIGS. 5A and 5B. FIG. 16 is a plan view illustrating a semiconductor memory device according to yet another embodiment of the inventive concepts. Even though not shown in the drawings, a cross-sectional view corresponding to a line A-A′ of FIG. 16 may be the same as or similar to FIG. 5B. The semiconductor memory device of FIG. 16 may be the substantially same as or similar to the semiconductor memory device of FIG. 15, except that positions of end portions of some of the bit lines BL are different from those of end portions of others of the bit lines BL on each of the connection regions. In the present embodiment, descriptions of the same elements as in the above embodiments may only be mentioned briefly or may be omitted altogether. Referring to FIG. 16, on the first connection region ER1, positions (e.g., coordinates in the second direction D2) of end portions of adjacent ones of the first bit lines BL1 may be different from each other. End portions of the first bit lines BL1 may protrude from the circuit region CR in a direction opposite to the second direction D2 when viewed from a plan view. The protruding lengths of the end portions of adjacent ones of the first bit lines BL1 may be different from each other. In other words, the protruding length of a first of a pair of adjacent first bit lines BL1 may be greater than the protruding length of the second of the pair of adjacent first bit lines BL1. The first bit lines BL1 may be repeatedly arranged along the first direction D1 in such a way to satisfy the above mentioned positional relationship. Likewise, on the second connection region ER2, positions (e.g., coordinates in the second direction D2) of end portions of adjacent ones of the second bit lines BL2 may be different from each other. End portions of the second bit lines BL2 may protrude from the circuit region CR in the second direction D2 when viewed from a plan view. The protruding lengths of the end portions of adjacent ones of the second bit lines BL2 may be different from each other. In other words, the protruding length of a first of a pair of adjacent second bit lines BL2 may be greater than the protruding length of the second of the pair of adjacent second bit lines BL2. The second bit lines BL2 may be repeatedly arranged along the first direction D1 in such a way to satisfy the above mentioned positional relationship. Since the first and second bit lines BL1 and BL2 have the positional relationships as described above, it is possible to increase a distance between the end portions of adjacent ones of the first bit lines BL1 on the first connection region ER1 and a distance between the end portions of adjacent ones of the second bit lines BL2 on the second connection region ER2. As a result, widths of the end portions of the first and second bit lines BL1 and BL2 may be increased to more easily form the connection contacts C1 and C2. Shapes of the first connection conductive lines L1 may correspond to the shapes of the first bit lines BL1 on the first connection region ER1. In other words, protruding lengths of adjacent ones of the first connection conductive lines L1 may be different from each other when viewed from a plan view. Likewise, shapes of the second connection conductive lines L2 may correspond to the shapes of the second bit lines BL2 on the second connection region ER2. In other words, protruding lengths of adjacent ones of the second connection conductive lines L2 may be different from each other when viewed from a plan view. FIG. 17 is a circuit diagram illustrating an embodiment of a memory structure included in a semiconductor memory device according to an embodiment of the inventive concepts. Referring to FIG. 17, a memory structure 20 according to the present embodiment may include a common source line CSL, a plurality of bit lines BL, and a cell string CSTR between the common source line CSL and the bit lines BL. The common source line CSL may be a conductive layer disposed on a substrate, and the bit lines BL may be conductive patterns (e.g., metal lines) disposed on the substrate. The cell string CSTR may include a plurality of upper strings CSTR1 respectively connected to the bit lines BL and one lower string CSTR2 connected to the common source line CSL. The plurality of upper strings CSTR1 may be connected in common to the one lower string CSTR2. Each of the upper strings CSTR1 may be connected to the lower string CSTR2 through a respective switching element SW. The switching elements SW connected to the upper strings CSTR1 may be electrically controlled to receive the same voltage. Each of the upper strings CSTR1 may include a string selection transistor SST connected to each of the bit lines BL, and a plurality of upper memory cell transistors MCT1 that are disposed between the string selection transistor SST and the switching element SW. The string selection transistor SST and the upper memory cell transistors MCT1 may be connected in series to each other. The lower string CSTR2 may include a ground selection transistor GST connected to the common source line CSL, and a plurality of lower memory cell transistors MCT2 that are disposed between the ground selection transistors GST and the switching elements SW. The ground selection transistor GST and the lower memory cell transistors MCT2 may be connected in series to each other. A string selection line SSL and upper word lines WL1(0) to WL1(3), which are disposed between the bit lines BL and the switching elements SW, may be used as gate electrodes of the string selection transistor SST and upper memory cell transistors MCT1, respectively. A ground selection line GSL and lower word lines WL2(0) to WL2(3), which are disposed between the common source line CSL and the switching elements SW, may be used as gate electrodes of the ground selection transistor GST and lower memory cell transistors MCT2, respectively. Each of the upper and lower memory cell transistors MCT1 and MCT2 may include a data storage element. A plurality of the upper strings CSTR1 respectively connected to the bit lines BL may be connected in common to the one lower string CSTR2 that is connected to the common source line CSL. Thus, the upper strings CSTR1 including the string selection transistors SST respectively connected to the bit lines BL may share the ground selection transistor GST included in the one lower string CSTR2. In other words, the upper strings CSTR1 that are connected to different bit lines so as to be operated independently of each other may be connected in common to the one lower string CSTR2 to share the ground selection transistor GST, so a highly integrated semiconductor memory device may be realized. FIG. 18 is a cross-sectional view illustrating a semiconductor memory device according to still another embodiment of the inventive concepts. In the present embodiment, the same elements as described in the above embodiments will be indicated by the same reference numerals or the same reference designators, and thus descriptions of these elements will only be mentioned briefly or may be omitted altogether. Referring to FIG. 18, the memory structure 20 may include a semiconductor layer 130, stack structures ST on the semiconductor layer 130, and a plurality of active pillars AP penetrating the stack structures ST. The stack structures ST may include insulating patterns 155 and electrodes between the insulating patterns 155. The electrodes of each of the stack structures ST may be sequentially stacked on the semiconductor layer 130 in a vertical direction (e.g., a third direction D3). The electrodes may include a string selection line SSL, word lines, and a ground selection lines GSL. The string selection line SSL may be between the word lines and the bit lines BL. The ground selection line GSL may be between the word lines and a common source line CSL. The word lines of each of the stack structures ST may be sequentially stacked on the semiconductor layer 130. The string selection line SSL and the ground selection line GSL may be on the word lines. The string selection line SSL and the ground selection line GSL may be spaced apart from each other in the second direction D2 by a trench 165. The word lines may include upper word lines WL1 that are between the semiconductor layer 130 and the string selection line SSL and lower word lines WL2 that are between the semiconductor layer 130 and the ground selection line GSL. The upper word lines WL1 may be spaced apart from the lower word lines WL2 in the second direction D2 by the trench 165. A device isolation pattern 177 may be provided between the string selection line SSL and the ground selection line GSL and between the upper word lines WL1 and the lower word lines WL2. The device isolation pattern 177 may have a linear shape and may extend in the first direction Dl. The device isolation pattern 177 may fill the trench 165 and may include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer. The active pillars AP may be arranged along the first direction D1 when viewed from a plan view. Each of the active pillars AP may include vertical portions VP that penetrate the stack structures ST and a horizontal portion HP that is disposed under the stack structures ST to connect the vertical portions VP of two adjacent active pillars AP to each other. The vertical portions VP may be provided in vertical holes penetrating the stack structures ST. The horizontal portions HP may be provided in horizontal recesses in an upper portion of the semiconductor layer 130. One of the vertical portions VP of each active pillar AP may be connected to the common source line CSL and the other of the vertical portions VP of each active pillar AP may be connected to one of the bit lines BL. The horizontal portion HP may be provided between the semiconductor layer 130 and the stack structures ST to connect the vertical portions VP to each other. In more detail, the vertical portions VP of each active pillar AP may include a first vertical portion VP1 that penetrates the string selection line SSL and the upper word lines WL1, and a second vertical portion VP2 that penetrates the ground selection line GSL and the lower word lines WL2. The first vertical portion VP1 may be connected to one of the bit lines BL through a pad PAD and a bit line contact 185, and the second vertical portion VP2 may be connected to the common source line CSL. The horizontal portion HP may extend from under the upper word lines WL1 to under the lower word lines WL2 so as to electrically connect the first vertical portion VP1 to the second vertical portion VP2. Each of the active pillars AP may include a semiconductor pattern that penetrates the stack structure ST so as to be electrically coupled to the semiconductor layer 130. The semiconductor pattern included in the vertical portion VP may cover inner sidewalls of the vertical holes. The semiconductor pattern included in the horizontal portion HP may cover an inner surface of the horizontal recess. Other elements of the semiconductor memory device according to the present embodiment may be the same as or similar to corresponding elements of the semiconductor memory device described above with reference to FIGS. 5A and 5B. In the semiconductor memory devices according to embodiments of the inventive concepts, the logic structure driving the memory structure may be disposed under the memory structure, so that the integration density of the semiconductor memory device may be improved. In addition, according to embodiments of the inventive concepts, the page buffer may be divided into first and second page buffers that are disposed at opposed sides of the memory structure, thereby increasing the widths of the connection conductive lines that electrically connect the bit lines to the page buffer. As a result, the resistance characteristics of the connection conductive lines may be improved, so the electrical characteristics of the semiconductor memory device may be improved. Furthermore, since the widths of the connection conductive lines are increased, it is possible to easily arrange and form the connection contacts electrically connecting the bit lines to the connection conductive lines. As a result, the highly integrated semiconductor memory device may be more easily realized. While the inventive concepts have been described above with reference to example embodiments, it will be apparent to those skilled in the art that various changes and modifications may be made without departing from the spirits and scopes of the inventive concepts. Therefore, it should be understood that the above embodiments are not limiting, but illustrative. Thus, the scope of the inventive concepts are to be determined by the broadest permissible interpretation of the following claims and their equivalents, and shall not be restricted or limited by the foregoing description.",H01L2711582,H01L27115,20160107,,20170209,63278.0 29,14904990,PENDING,DEVICE FOR DISPENSING YEAST IN A BAKERY AND SYSTEM FOR DISPENSING YEAST IN A BAKERY,"The invention relates to a device for dispensing liquid yeast in a bakery, including: an inner panel (2) of a refrigerated chamber (6) onto which an inner circuit (20) for the flow of liquid yeast is pre-mounted, wherein said inner circuit is intended to be connected to a liquid yeast vessel (4) and includes a pumping unit (21); an outer panel (1) of a refrigerated chamber (6) onto which a liquid yeast metering device (16) and a metering table (10) are pre-mounted, wherein said metering table is intended to receive a vessel (3) to be filled with an amount of liquid yeast metered by said liquid yeast metering device (16). Said inner panel (2) and said outer panel (1) are structured such as to be attached sandwiched onto the wall (5) of a refrigerated chamber (6) for containing said vessel (4). Said liquid yeast metering device (16) is intended to be connected, through a wall (5) of the refrigerated chamber (6), to said inner circuit (20).","1. Device for dispensing liquid yeast in a bakery, comprising: an inner panel (2) of a refrigerated chamber (6), onto which is pre-mounted an inner circuit (20) for the flow of liquid yeast which is intended to be connected to a liquid yeast vessel (4) and which comprises a pumping unit (21); an outer panel (1) of the refrigerated chamber (6), onto which are pre-mounted: a liquid yeast metering device (16); a metering table (10) intended for receiving a container (3) to be filled with an amount of liquid yeast metered by said liquid yeast metering device (16); said inner panel (2) and said outer panel (1) being structured so as to be attached sandwiched onto the wall (5) of a refrigerated chamber (6) intended to contain said vessel (4); said liquid yeast metering device (16) being intended to be connected, through a wall (5) of the refrigerated chamber (6), to said inner circuit (20). 2. Device for dispensing liquid yeast in a bakery according to claim 1, further comprising: a cleaning tank (12) pre-mounted on said outer panel (1) and located under said metering table (10); a wash water metering device (17) pre-mounted on said outer panel (1), intended for supplying said cleaning tank (12) and intended to be connected to a wash water inlet (19). 3. Device for dispensing liquid yeast in a bakery according to claim 2, comprising, downstream of said wash water metering device (17), a wash water outlet (13) which can be manually directed either toward said tank (12) or towards an internal drainage tube (64) that is not in communication with the bottom of said vessel (12). 4. Device for dispensing liquid yeast in a bakery according to claim 3, wherein said tank (12) has an outlet (13) to a wash water recirculation circuit and wherein said tank (12) is ring-shaped, preferably cylindrical, arranged around said internal drainage tube (64). 5. Device for dispensing liquid yeast in a bakery according to claim 4, wherein said wash water outlet (13) has a pivotable part (14), preferably with at least two bends. 6. Device for dispensing liquid yeast in a bakery according to claim 2, wherein said liquid yeast metering device (16) and said wash water metering device (17) are connected to a common outlet (13). 7. Device for dispensing liquid yeast in a bakery according to claim 1, wherein no welding is carried out during installation of the panels (1, 2) on the wall (5) of the refrigerated chamber (6). 8. Device for dispensing liquid yeast in a bakery according to claim 1, wherein said liquid yeast metering device (16) is automatic and is associated with a weighing system (11) which is integrated with said metering table (10). 9. Device for dispensing liquid yeast in a bakery according to claim 1, further comprising a liquid yeast flowmeter (22) pre-mounted on the inner panel (2), said inner circuit (20) comprising a branch line (23) connected to said flowmeter (22). 10. Device for dispensing liquid yeast in a bakery according to claim 1, wherein said inner circuit (20) comprises another branch line (33) intended to pass through a wall (5) of the refrigerated chamber (6). 11. Device for dispensing liquid yeast in a bakery according to claim 1, wherein said inner circuit (20) further comprises a water connection (28) pre-mounted on the inner panel (2), intended for connected to a water inlet (29). 12. Device for dispensing liquid yeast in a bakery according to claim 1, wherein said inner circuit (20) comprises a yeast filter (26) located downstream of the pumping unit (21). 13. Device for dispensing liquid yeast in a bakery according to claim 1, wherein all pipe and hose connections (30) are pre-mounted on the inner panel (2). 14. Device for dispensing liquid yeast in a bakery according to claim 13, further comprising one or more valves (24, 25) pre-mounted on the inner panel. 15. System for dispensing liquid yeast in a bakery, comprising: a refrigerated chamber (6); a liquid yeast vessel (4) located within said refrigerated chamber (6); a device for dispensing liquid yeast in a bakery according to claim 1, which is mounted so as to sandwich a wall (5) of said refrigerated chamber (6) and which is connected to said vessel (4) so as to be able to pump liquid yeast from said vessel (4). 16. System for dispensing liquid yeast in a bakery according to claim 15, wherein the mounting of said device for dispensing liquid yeast is self-supporting by the pressure of the inner (2) and outer (1) panels against said wall (5) of the refrigerated chamber (6). 17. System for dispensing liquid yeast in a bakery according to claim 15, wherein said vessel (4) is independently movable relative to said refrigerated chamber (6). 18. System for dispensing liquid yeast in a bakery according to claim 17, wherein the capacity of said liquid yeast vessel (4) is between 100 liters and 1000 liters, preferably between 100 liters and 600 liters or between 300 liters and 1000 liters, more preferably between 300 liters and 600 liters. 19. System for dispensing liquid yeast in a bakery according to claim 15, wherein said system is a remote dispensing system comprising a liquid yeast flowmeter (22) pre-mounted on said inner panel (2) and a pipe (50) at least several meters in length, preferably greater than 10 meters, extending downstream of the flowmeter (22). 20. System for dispensing liquid yeast in a bakery according to claim 19, further comprising a slave cabinet (75) for setting the yeast amount setpoint, connected to a master cabinet (7) for setting the yeast amount setpoint located on the same wall as said outer panel (1). 21. System for dispensing liquid yeast in a bakery according to claim 15, further comprising a recirculation connection (39) which is connected on the outer panel (1) side to said outlet of said cleaning tank (12) and which is intermittently connected on the inner panel (2) side to the pumping unit (21). 22. System for dispensing liquid yeast in a bakery according to claim 15, wherein the liquid yeast is either a yeast starter or actual liquid yeast, preferably stabilized. 23. System for dispensing liquid yeast in a bakery according to claim 15, wherein the temperature of said refrigerated chamber (6) is regulated and is between 1° C. and 7° C., and preferably between 2° C. and 4° C. 24. Method for installing a system for dispensing liquid yeast in a bakery according to claim 15, characterized in that the holes corresponding to connecting passages between the inner (2) and outer (1) panels, traversing a wall (5) of the refrigerated chamber (6), are represented on a template of holes to be drilled in the wall (5) of the refrigerated chamber (6), said template being attached to said wall (5) of the refrigerated chamber (6) to guide the drilling of said holes."," BACKGROUND OF THE INVENTION In the prior art, it is known to perform an on-site installation of all components of a device for dispensing yeast, within the existing yeast dispensing system in the bakery of the client. The bakery usually already has a cold room in which the elements of the yeast dispensing device will have to be installed on site. This “in situ” installation can be completely adapted to the existing bakery layout, in particular the existing layout of the cold room. However, such on-site installation can be relatively expensive. In particular, for relatively small bakeries, typically corresponding to a limited weekly consumption of yeast such as less than 1000 liters of yeast per week, the cost of on-site installation can be considered too high. One can consider obtaining a complete cold room which already integrates all the necessary components, to reduce costs. However, such a device would duplicate the cold room which often already exists in the bakery. In addition, such an integrated cold room might be too small to house anything other than the vessel of liquid yeast."," SUMMARY OF THE INVENTION The object of the present invention is to provide a device for dispensing yeast and an associated system for dispensing yeast which at least partially overcome the aforementioned disadvantages. More particularly, the invention aims to provide a device for dispensing yeast and an associated system for dispensing yeast which, by using the existing cold room already in place in the bakery, requires an additional installation cost which is lower than in the prior art. To do so, the invention proposes panels internal and external to the cold room, on which some or all of the necessary components are already pre-mounted, so that one only needs to attach these panels to either side of a wall of the cold room, reducing or minimizing the assembly operations performed on site during installation. To this end, the invention relates to a device for dispensing liquid yeast in a bakery, comprising: an inner panel of a refrigerated chamber, onto which is pre-mounted an inner circuit for the flow of liquid yeast which is intended to be connected to a liquid yeast vessel and which comprises a pumping unit; an outer panel of the refrigerated chamber, onto which are pre-mounted a liquid yeast metering device and a metering table which is intended for receiving a container to be filled with an amount of liquid yeast metered by said liquid yeast metering device; said inner panel and said outer panel being structured so as to be attached sandwiched onto the wall of a refrigerated chamber intended to contain said vessel; said liquid yeast metering device being intended to be connected, through a wall of the refrigerated chamber, to said inner circuit. To this end, the invention also provides a system for dispensing liquid yeast in a bakery, comprising: a refrigerated chamber; a liquid yeast vessel located within said refrigerated chamber; a device for dispensing liquid yeast in a bakery according to any one of the preceding claims, which is mounted so as to sandwich a wall of said refrigerated chamber and which is connected to said vessel so as to be able to pump liquid yeast from said vessel. According to preferred embodiments, the invention comprises one or more of the following features that may be used separately or may be partially or fully combined. Preferably, the device for dispensing yeast further comprises a cleaning tank pre-mounted on said outer panel and located under said metering table, and a wash water metering device pre-mounted on said outer panel, intended for supplying said cleaning tank and intended to be connected to a wash water inlet. The presence of the cleaning tank provides a point of entry for introducing detergent into the cleaning circuit while preventing yeast from spilling onto the ground, with or without recirculation of the wash water. The cleaning tank also serves as a vessel which can hold a varying volume of wash water, in other words a vessel in the cleaning circuit, with or without recirculation of the wash water. Preferably, the device for dispensing liquid yeast comprises, downstream of said wash water metering device, a wash water outlet which can be manually directed either toward said tank or toward an internal drainage pipe that is not in communication with the bottom of said tank. Thus, switching between the cleaning circuit with recirculation and the cleaning circuit without recirculation can be carried out very simply, manually and mechanically, therefore with a risk of failure that is virtually nonexistent. Preferably, said tank has an outlet to a wash water recirculation circuit and said tank is ring-shaped, preferably cylindrical, arranged around said internal drainage pipe. This corresponds to a particularly compact embodiment for switching between the cleaning circuit with recirculation and the cleaning circuit without recirculation. This particularly compact embodiment renders it particularly suitable for pre-mounting on the outer panel. Preferably, said wash water outlet has a pivotable part, preferably with at least two bends. This represents a particularly simple structural embodiment, allowing a simple pivot to switch from the cleaning circuit with recirculation position to the cleaning circuit without recirculation position. Preferably, said liquid yeast metering device and said wash water metering device are connected to a common outlet. This corresponds to a particularly compact embodiment of the outlets of the metering devices. This particularly compact design makes it particularly suitable for pre-mounting on the outer panel. Preferably, no welding is carried out during installation of the panels on the wall of the refrigerated chamber. Thus, during on-site installation of the inner and outer panels, there is no need for a highly skilled installer such as a welder; the presence of a normally qualified installer is sufficient, which makes the use of pre-mounted inner and outer panels all the more attractive and inexpensive. Preferably, said liquid yeast metering device is automatic and is associated with a weighing system which is integrated with said metering table. This fully automated system is of interest as it does not require complicated on-site installation, since it also can be pre-mounted on the outer panel. Preferably, a liquid yeast flowmeter is pre-mounted on the inner panel, said inner circuit comprising a branch line connected to said flowmeter. This liquid yeast flowmeter allows remote dispensing of liquid yeast into a kneading machine physically distanced from the cold room, which is of interest as it does not require complicated on-site installation, since it also can be pre-mounted on the inner panel. Preferably, said inner circuit comprises another branch line intended to pass through a wall of the refrigerated chamber. Preferably, said inner circuit also comprises a water hookup pre-mounted on the inner panel, intended to be connected to a water inlet. Preferably, said inner circuit comprises a yeast filter located downstream of the pumping unit. These aforementioned elements enable a fuller and richer use of the device for dispensing liquid yeast, which is of interest as it does not require complicated on-site installation, since it also can be pre-mounted on the inner panel. Preferably, all pipe and hose connections are pre-mounted on the inner panel. Thus, they are hidden within the cold room and are not in contact with the outside where they could more easily become soiled or damaged. Preferably, one or more valves are pre-mounted on the inner panel. The inner panel, with many elements pre-mounted on it, is particularly advantageous in terms of simplicity and ease of installation, despite the number and complexity of the elements it incorporates. Preferably, the mounting of said device for dispensing liquid yeast is self-supporting by the pressure of the inner and outer panels against said wall of the refrigerated chamber: it is in a sandwich type of assembly. While the installation remains simple and easy, because it does not require on-site installation of elements to support the inner and outer panels on the wall of the cold room, it is thus still rather robust. Preferably, said vessel is independently movable relative to said refrigerated chamber. This ensures the easy replenishment of liquid yeast over time, and it requires no other arrangement within the cold room aside from the placement of the inner and outer panels. Preferably, the capacity of said liquid yeast vessel is between 100 liters and 1000 liters, preferably between 100 liters and 600 liters or between 300 liters and 1000 liters, more preferably between 300 liters and 600 liters. The device for dispensing liquid yeast and the associated system for dispensing liquid yeast present an optimal compromise between efficiency and cost of manufacture and installation, for this type of intermediate capacity corresponding to a moderately sized bakery. In contrast, on-site installation of more elements allows better optimization for larger bakeries. Preferably, said system is a remote dispensing system comprising a liquid yeast flowmeter pre-mounted on said inner panel and a pipe at least several meters in length, preferably greater than 10 meters, extending downstream of the flowmeter. This remote dispensing capability remains compatible with the simplified installation of a device essentially comprising two panels, inner and outer, with most components pre-mounted on the panels. Preferably, the system for dispensing liquid yeast further comprises a slave cabinet for setting the yeast amount setpoint, connected to a master cabinet for setting the yeast amount setpoint located on the same wall as said outer panel. The compactness of the pre-mounted outer panel facilitates the addition, on the same cold room wall, of this additional component which is not pre-mounted. Preferably, the system for dispensing liquid yeast further comprises a recirculation connection which is connected on the outer panel side to said outlet of said cleaning tank and which is intermittently connected on the inner panel side to the pumping unit. Thus, the cleaning circuit with wash water recirculation can easily be implemented in spite of a pumping unit being located on the inner panel side inside the cold room. Preferably, the liquid yeast is either a yeast starter or actual liquid yeast, preferably stabilized. Preferably, the temperature of said refrigerated chamber is regulated and is between 1° C. and 7° C., and preferably between 2° C. and 4° C. Preferably, a method for installing a system for dispensing liquid yeast in a bakery according to the invention comprises a step in which the holes corresponding to connecting passages between the inner and outer panels, traversing a wall of the refrigerated chamber, are represented on a template of holes to be drilled in the wall of the refrigerated chamber, said template being attached to said wall of the refrigerated chamber to guide the drilling of said holes. On-site assembly is thus easier and faster. Preferably, the metering device is a valve, manual or automatic, or a faucet, manual or automatic. The device for dispensing liquid yeast and the associated system for dispensing liquid yeast may advantageously be implemented as a basic module to which can be added one or more options. The basic module preferably comprises: the inner panel of the refrigerated chamber on which is pre-mounted an inner circuit for the circulation of liquid yeast, which is intended to be connected to a liquid yeast vessel and which comprises a pumping unit; and the outer panel of the refrigerated chamber, on which are pre-mounted a device for dispensing liquid yeast and a metering table intended for receiving a container to be filled with a quantity of liquid yeast metered by the liquid yeast metering device. The inner panel and outer panel are structured so as to be attached sandwiched onto the wall of a refrigerated chamber intended to contain said vessel. The liquid yeast metering device is intended to be connected, through a wall of the refrigerated chamber, to said inner circuit. The inner panel of the basic module preferably also comprises a pre-mounted yeast filter, situated downstream of the pumping unit and integrated into the inner circuit. The outer panel of the basic module preferably also comprises a cleaning tank pre-mounted and located beneath the metering table. The outer panel of the basic module preferably also comprises a pre-mounted wash water metering device, intended for supplying the cleaning tank and for connection to a wash water inlet. In addition to these components pre-mounted on the inner and outer panels, in the basic module there may preferably be added a flexible hose for connecting to the liquid yeast vessel and a connection to the site water supply system and a connection to the site water drainage system. To this basic module, there may be added a first manual or automatic weight-based metering option, comprising a weighing system which is added to the metering table and which can advantageously involve simply placing a scale on the metering table, and which will be associated with the liquid yeast metering device of the basic module. To this basic module there may be added a second option of direct metering in the kneading machine without water flushing, incorporating additional piping and a flowmeter. To this basic module there may be added a third option of direct metering in the kneading machine with water flushing, integrating additional piping and a flowmeter. In systems providing direct metering in the kneading machine, the flowmeter may be placed right next to the kneading machine. Other features and advantages of the invention will be apparent from the following description of a preferred embodiment of the invention, given by way of example and with reference to the accompanying drawings.","FIELD OF THE INVENTION The invention relates to devices for dispensing yeast in a bakery and associated systems for dispensing yeast in a bakery. BACKGROUND OF THE INVENTION In the prior art, it is known to perform an on-site installation of all components of a device for dispensing yeast, within the existing yeast dispensing system in the bakery of the client. The bakery usually already has a cold room in which the elements of the yeast dispensing device will have to be installed on site. This “in situ” installation can be completely adapted to the existing bakery layout, in particular the existing layout of the cold room. However, such on-site installation can be relatively expensive. In particular, for relatively small bakeries, typically corresponding to a limited weekly consumption of yeast such as less than 1000 liters of yeast per week, the cost of on-site installation can be considered too high. One can consider obtaining a complete cold room which already integrates all the necessary components, to reduce costs. However, such a device would duplicate the cold room which often already exists in the bakery. In addition, such an integrated cold room might be too small to house anything other than the vessel of liquid yeast. SUMMARY OF THE INVENTION The object of the present invention is to provide a device for dispensing yeast and an associated system for dispensing yeast which at least partially overcome the aforementioned disadvantages. More particularly, the invention aims to provide a device for dispensing yeast and an associated system for dispensing yeast which, by using the existing cold room already in place in the bakery, requires an additional installation cost which is lower than in the prior art. To do so, the invention proposes panels internal and external to the cold room, on which some or all of the necessary components are already pre-mounted, so that one only needs to attach these panels to either side of a wall of the cold room, reducing or minimizing the assembly operations performed on site during installation. To this end, the invention relates to a device for dispensing liquid yeast in a bakery, comprising: an inner panel of a refrigerated chamber, onto which is pre-mounted an inner circuit for the flow of liquid yeast which is intended to be connected to a liquid yeast vessel and which comprises a pumping unit; an outer panel of the refrigerated chamber, onto which are pre-mounted a liquid yeast metering device and a metering table which is intended for receiving a container to be filled with an amount of liquid yeast metered by said liquid yeast metering device; said inner panel and said outer panel being structured so as to be attached sandwiched onto the wall of a refrigerated chamber intended to contain said vessel; said liquid yeast metering device being intended to be connected, through a wall of the refrigerated chamber, to said inner circuit. To this end, the invention also provides a system for dispensing liquid yeast in a bakery, comprising: a refrigerated chamber; a liquid yeast vessel located within said refrigerated chamber; a device for dispensing liquid yeast in a bakery according to any one of the preceding claims, which is mounted so as to sandwich a wall of said refrigerated chamber and which is connected to said vessel so as to be able to pump liquid yeast from said vessel. According to preferred embodiments, the invention comprises one or more of the following features that may be used separately or may be partially or fully combined. Preferably, the device for dispensing yeast further comprises a cleaning tank pre-mounted on said outer panel and located under said metering table, and a wash water metering device pre-mounted on said outer panel, intended for supplying said cleaning tank and intended to be connected to a wash water inlet. The presence of the cleaning tank provides a point of entry for introducing detergent into the cleaning circuit while preventing yeast from spilling onto the ground, with or without recirculation of the wash water. The cleaning tank also serves as a vessel which can hold a varying volume of wash water, in other words a vessel in the cleaning circuit, with or without recirculation of the wash water. Preferably, the device for dispensing liquid yeast comprises, downstream of said wash water metering device, a wash water outlet which can be manually directed either toward said tank or toward an internal drainage pipe that is not in communication with the bottom of said tank. Thus, switching between the cleaning circuit with recirculation and the cleaning circuit without recirculation can be carried out very simply, manually and mechanically, therefore with a risk of failure that is virtually nonexistent. Preferably, said tank has an outlet to a wash water recirculation circuit and said tank is ring-shaped, preferably cylindrical, arranged around said internal drainage pipe. This corresponds to a particularly compact embodiment for switching between the cleaning circuit with recirculation and the cleaning circuit without recirculation. This particularly compact embodiment renders it particularly suitable for pre-mounting on the outer panel. Preferably, said wash water outlet has a pivotable part, preferably with at least two bends. This represents a particularly simple structural embodiment, allowing a simple pivot to switch from the cleaning circuit with recirculation position to the cleaning circuit without recirculation position. Preferably, said liquid yeast metering device and said wash water metering device are connected to a common outlet. This corresponds to a particularly compact embodiment of the outlets of the metering devices. This particularly compact design makes it particularly suitable for pre-mounting on the outer panel. Preferably, no welding is carried out during installation of the panels on the wall of the refrigerated chamber. Thus, during on-site installation of the inner and outer panels, there is no need for a highly skilled installer such as a welder; the presence of a normally qualified installer is sufficient, which makes the use of pre-mounted inner and outer panels all the more attractive and inexpensive. Preferably, said liquid yeast metering device is automatic and is associated with a weighing system which is integrated with said metering table. This fully automated system is of interest as it does not require complicated on-site installation, since it also can be pre-mounted on the outer panel. Preferably, a liquid yeast flowmeter is pre-mounted on the inner panel, said inner circuit comprising a branch line connected to said flowmeter. This liquid yeast flowmeter allows remote dispensing of liquid yeast into a kneading machine physically distanced from the cold room, which is of interest as it does not require complicated on-site installation, since it also can be pre-mounted on the inner panel. Preferably, said inner circuit comprises another branch line intended to pass through a wall of the refrigerated chamber. Preferably, said inner circuit also comprises a water hookup pre-mounted on the inner panel, intended to be connected to a water inlet. Preferably, said inner circuit comprises a yeast filter located downstream of the pumping unit. These aforementioned elements enable a fuller and richer use of the device for dispensing liquid yeast, which is of interest as it does not require complicated on-site installation, since it also can be pre-mounted on the inner panel. Preferably, all pipe and hose connections are pre-mounted on the inner panel. Thus, they are hidden within the cold room and are not in contact with the outside where they could more easily become soiled or damaged. Preferably, one or more valves are pre-mounted on the inner panel. The inner panel, with many elements pre-mounted on it, is particularly advantageous in terms of simplicity and ease of installation, despite the number and complexity of the elements it incorporates. Preferably, the mounting of said device for dispensing liquid yeast is self-supporting by the pressure of the inner and outer panels against said wall of the refrigerated chamber: it is in a sandwich type of assembly. While the installation remains simple and easy, because it does not require on-site installation of elements to support the inner and outer panels on the wall of the cold room, it is thus still rather robust. Preferably, said vessel is independently movable relative to said refrigerated chamber. This ensures the easy replenishment of liquid yeast over time, and it requires no other arrangement within the cold room aside from the placement of the inner and outer panels. Preferably, the capacity of said liquid yeast vessel is between 100 liters and 1000 liters, preferably between 100 liters and 600 liters or between 300 liters and 1000 liters, more preferably between 300 liters and 600 liters. The device for dispensing liquid yeast and the associated system for dispensing liquid yeast present an optimal compromise between efficiency and cost of manufacture and installation, for this type of intermediate capacity corresponding to a moderately sized bakery. In contrast, on-site installation of more elements allows better optimization for larger bakeries. Preferably, said system is a remote dispensing system comprising a liquid yeast flowmeter pre-mounted on said inner panel and a pipe at least several meters in length, preferably greater than 10 meters, extending downstream of the flowmeter. This remote dispensing capability remains compatible with the simplified installation of a device essentially comprising two panels, inner and outer, with most components pre-mounted on the panels. Preferably, the system for dispensing liquid yeast further comprises a slave cabinet for setting the yeast amount setpoint, connected to a master cabinet for setting the yeast amount setpoint located on the same wall as said outer panel. The compactness of the pre-mounted outer panel facilitates the addition, on the same cold room wall, of this additional component which is not pre-mounted. Preferably, the system for dispensing liquid yeast further comprises a recirculation connection which is connected on the outer panel side to said outlet of said cleaning tank and which is intermittently connected on the inner panel side to the pumping unit. Thus, the cleaning circuit with wash water recirculation can easily be implemented in spite of a pumping unit being located on the inner panel side inside the cold room. Preferably, the liquid yeast is either a yeast starter or actual liquid yeast, preferably stabilized. Preferably, the temperature of said refrigerated chamber is regulated and is between 1° C. and 7° C., and preferably between 2° C. and 4° C. Preferably, a method for installing a system for dispensing liquid yeast in a bakery according to the invention comprises a step in which the holes corresponding to connecting passages between the inner and outer panels, traversing a wall of the refrigerated chamber, are represented on a template of holes to be drilled in the wall of the refrigerated chamber, said template being attached to said wall of the refrigerated chamber to guide the drilling of said holes. On-site assembly is thus easier and faster. Preferably, the metering device is a valve, manual or automatic, or a faucet, manual or automatic. The device for dispensing liquid yeast and the associated system for dispensing liquid yeast may advantageously be implemented as a basic module to which can be added one or more options. The basic module preferably comprises: the inner panel of the refrigerated chamber on which is pre-mounted an inner circuit for the circulation of liquid yeast, which is intended to be connected to a liquid yeast vessel and which comprises a pumping unit; and the outer panel of the refrigerated chamber, on which are pre-mounted a device for dispensing liquid yeast and a metering table intended for receiving a container to be filled with a quantity of liquid yeast metered by the liquid yeast metering device. The inner panel and outer panel are structured so as to be attached sandwiched onto the wall of a refrigerated chamber intended to contain said vessel. The liquid yeast metering device is intended to be connected, through a wall of the refrigerated chamber, to said inner circuit. The inner panel of the basic module preferably also comprises a pre-mounted yeast filter, situated downstream of the pumping unit and integrated into the inner circuit. The outer panel of the basic module preferably also comprises a cleaning tank pre-mounted and located beneath the metering table. The outer panel of the basic module preferably also comprises a pre-mounted wash water metering device, intended for supplying the cleaning tank and for connection to a wash water inlet. In addition to these components pre-mounted on the inner and outer panels, in the basic module there may preferably be added a flexible hose for connecting to the liquid yeast vessel and a connection to the site water supply system and a connection to the site water drainage system. To this basic module, there may be added a first manual or automatic weight-based metering option, comprising a weighing system which is added to the metering table and which can advantageously involve simply placing a scale on the metering table, and which will be associated with the liquid yeast metering device of the basic module. To this basic module there may be added a second option of direct metering in the kneading machine without water flushing, incorporating additional piping and a flowmeter. To this basic module there may be added a third option of direct metering in the kneading machine with water flushing, integrating additional piping and a flowmeter. In systems providing direct metering in the kneading machine, the flowmeter may be placed right next to the kneading machine. Other features and advantages of the invention will be apparent from the following description of a preferred embodiment of the invention, given by way of example and with reference to the accompanying drawings. BRIEF DESCRIPTION OF DRAWINGS FIG. 1 schematically represents an example of an outer panel of a device for dispensing liquid yeast in a bakery according to an embodiment of the invention. FIG. 2 schematically represents an example of an inner panel of a device for dispensing liquid yeast in a bakery according to an embodiment of the invention. FIG. 3 schematically represents an example connection between the various components of the inner and outer panels of a device for dispensing liquid yeast in a bakery according to an embodiment of the invention. FIG. 4 schematically represents an example of a system for dispensing liquid yeast in a bakery according to an embodiment of the invention, corresponding to a system of metering at the vessel. FIG. 5 schematically represents an example of a system for dispensing liquid yeast in a bakery according to an embodiment of the invention, corresponding to a direct metering system at the kneading machine, without water flushing. FIG. 6 schematically represents an example of a system for dispensing liquid yeast in a bakery according to an embodiment of the invention, corresponding to a direct metering system at the kneading machine, with water flushing. FIG. 7 schematically represents an example of a system for dispensing liquid yeast in a bakery according to an embodiment of the invention, in a weekly wash configuration of the system with recirculation of the wash water. FIGS. 8 and 9 schematically represent portions and details of the system represented in FIG. 7. FIGS. 10 and 11 schematically represent portions and details of the system represented in FIG. 7, but in a weekly wash configuration of the system with drainage of the wash water. FIG. 12 very schematically represents an example of an assembly with the inner and outer panels tightly sandwiching the cold room wall so as to provide a self-supporting assembly. DETAILED DESCRIPTION OF THE INVENTION The scale of FIGS. 1, 2, 9, and 11 is preferably a scale of 1:10. The refrigerated chamber is either a cold room or a refrigerator. FIG. 1 schematically represents an example of an outer panel of a device for dispensing liquid yeast in a bakery according to an embodiment of the invention. The outer panel 1 is secured to the cold room wall with long screws 70 passing through the cold room wall, for example four long screws 70. The outer panel 1 is preferably of metal, advantageously of stainless steel. Multiple components are pre-mounted on this outer panel 1. A metering table 10 is attached substantially orthogonal to the plane of the outer panel 1. This metering table 10 supports a cleaning tank 12 which has a water drainage outlet 15 underneath. Preferably, the metering table 10 integrates a weighing system 11, but this is not mandatory. The weighing system 11 is located on top of the metering table 10. The cleaning tank 12 is closed by a cover 72 when it is not in use. The cover 72 supports the metering table 10 on which is placed a pitcher 3. The pitcher 3 is completely autonomous from the metering table 10. On the outer panel 1, there is a liquid yeast inlet 18 and a wash water inlet 19. An automated metering valve 16 for measuring liquid yeast is arranged downstream of the liquid yeast inlet 18. A manual metering valve 17 for measuring wash water is arranged downstream of the wash water inlet 19. A common outlet 13 for the liquid yeast metering valve 16 and the wash water metering valve 17 therefore acts as both the liquid yeast outlet 13 and wash water outlet 13. This common outlet 13 comprises a pivotable part 14 which is pivotable around the ring 73 and which has dual bends, so as to have the following general form, from top to bottom: an upper vertical portion, a horizontal portion, a lower vertical portion. The ring 73 makes it possible to unscrew the pivotable part 14 which is removable and can thus be detached from the rest of the common outlet 13. The lower vertical portion of the pivotable part 14 of the common outlet 13 is immersed in the pitcher 3. In reality, this pivotable part 14 is removable and is not used when filling the pitcher 3 with liquid yeast; this pivotable part 14 is used when cleaning the system for dispensing liquid yeast. When the pivotable part 14 is not in use, it can be stored against the outer panel 1, on hooks 74. FIG. 2 schematically represents an example of an inner panel of a device for dispensing liquid yeast in a bakery according to an embodiment of the invention. The inner panel 2 is secured to the cold room wall using nuts 71 screwed onto the long screws 70 passing through the cold room wall, for example in a sandwiched assembly such as that shown in FIG. 12. The inner panel 2 is preferably made of stainless steel. On the inner panel 2, there are pre-mounted several components that are part of an inner circuit 20 for the flow of liquid yeast, intended to be connected to a liquid yeast vessel to supply liquid yeast. To ensure the fluidtightness of the connections through the cold room wall to which the inner 2 and outer 1 panel are attached, connectors 30 are pre-assembled, preferably all on the inner panel 2 and none on the outer panel 1. A pumping unit 21 is attached to the inner panel 2. Upstream of the pumping unit 21, relative to the direction of flow of the liquid yeast, a flexible hose is arranged which terminates at a manual butterfly valve 32 intended to be connected to a liquid yeast vessel. Downstream of the pumping unit 21, relative to the direction of flow of the liquid yeast, a coupling 38 is attached, then a liquid yeast filter 26 to filter the flow of liquid yeast, then a connection 37 which leads to a branch. From this branch, one leg continues in the form of a connection 33 which then traverses the cold room wall and leads to the outer panel 1 side, whereas on the other leg there is an automatic valve 36. An inlet 29 to admit water after it passes through a filter 28, divides into two legs, one of which continues in the form of a connection 34 which then traverses the cold room wall and lead to the outer panel 1 side, and the other of which is extended by a connection 35 on which an automatic valve 25 is located. Downstream of the automatic valve 25 there is an attached flowmeter 22, followed by a connection 23 leading to an outlet 27 intended for connection to external piping leading to the kneading machine, in order to allow remote dispensing of liquid yeast directly into the kneading machine at a distance from the cold room. FIG. 3 schematically represents an example connection between the various components of the inner and outer panels of a device for dispensing liquid yeast in a bakery according to an embodiment of the invention. The general circuit for the flow of liquid yeast and/or water, comprises several nodes N1 to N4, corresponding to branch lines, and switches A1 to A2, corresponding to different connection possibilities. The inlets from the outside are the liquid yeast vessel 4, the water inlet 29, the wash water backflow from the remote kneading machine connected to a hose 51. This hose 51 allows liquid to flow through it into the cleaning tank 12 which also serves as vessel to hold a varying volume in the water flow circuit. Outlets to the outside are the outlet 27 to the kneading machine and the drainage outlet 15 for dirty wash water. The water filter 28 is between the water inlet 29 and node 1. Between node N1 and node N2 is a manual valve 17. Between node N2 and node N3 there are, in succession, an automatic valve 17 and the traversal of the cold room wall 5. Between node N3 and node N4 is an automatic valve 24. Between node N4 and outlet 27 is the flowmeter 22. Between node N1 and node N4 is an automatic valve 25. When manual valve 32 is connected to the vessel 4 of liquid yeast, between the vessel 4 of liquid yeast and node N3 there are, in succession, the hose 31, the pumping unit 21, and the liquid yeast filter 26. When the manual valve 32 is connected to the recirculation connection 39, between node N2 and node N3 there are, in succession, outlet 13, cleaning tank 12, recirculation connection 39, hose 31, pumping unit 21, and liquid yeast filter 26. When the cleaning is coming to an end, the dirty wash water can be drained by connecting outlet 13 to the drainage outlet 15 instead of connecting it to the recirculation outlet 39. FIG. 4 schematically represents an example of a system for dispensing liquid yeast according to an embodiment of the invention corresponding to a metering system at the pitcher. The vessel 4 of liquid yeast is placed in the cold room 6 on the wall 5 to which are attached the inner and outer panels (not shown here for simplicity). The vessel 4 is a container resting on a pallet, and therefore is removable from the cold room 6 and can be transported by a forklift. A movable wheeled kneading machine 60, comprising a mixing arm 61, will be supplied with liquid yeast by the pitcher 3 which will be filled with liquid yeast when it is placed on the metering table 10. A master cabinet 7 for specifying yeast amount setpoints is located on the same wall and on the same side of the wall as the outer panel 1. The setpoint master cabinet 7 is not pre-mounted on the outer panel 1. The user, meaning the baker, specifies a setpoint for the amount of liquid yeast using a man-machine interface of the master cabinet 7, for example by means of a keyboard and screen assembly. In FIG. 4, two alternative configurations are actually represented. A first configuration represents the flow of liquid yeast in the liquid yeast circuit, corresponding to connection positions 31A and 32A for the hose 31 and manual valve 32 respectively. A second configuration represents the flow of wash water in the wash water recirculation circuit, corresponding to connection positions 31B and 32B for the hose 31 and manual valve 32 respectively. The circulation of liquid yeast in the liquid yeast circulation circuit is as follows. The liquid yeast advances in the liquid yeast circulation circuit mainly due to the pumping unit 21. Liquid yeast exits the vessel 4, passes through an open manual valve 41, this manual valve 41 being closed when hose 31 is not connected to the vessel 4, then passes through valve 32A, flows through hose 31A, is drawn upstream and flows back downstream of the pumping unit 21, passes through the liquid yeast filter 26, through the wall 5 of the cold room 6, to reach the liquid yeast inlet 18. This liquid yeast passes through the liquid yeast metering valve 16, which is open until the liquid yeast setpoint is reached and closes once the liquid yeast setpoint is reached. The wash water metering valve 17 is closed. As a result, the liquid yeast pours out the common outlet 13 into the pitcher 3. The pivotable part 14 of the common outlet 13 is absent (configuration shown in FIG. 4). Once the liquid yeast metering valve 16 closed and the pitcher 3 filled with the amount of liquid yeast previously set by the user in the master cabinet 7, the liquid yeast metering operation is completed, and the user can go to the kneading machine 60 with his pitcher 3 filled with liquid yeast. The circulation of wash water in the water circulation circuit is as follows. The liquid yeast advances in the liquid yeast circulation circuit mainly due to the pumping unit 21. The pivotable part 14 of the common outlet 13 is present, while the pitcher 3 has been removed along with the cover 72 (configuration not shown in FIG. 4). The pivotable part 14 of the common outlet 13 is directed towards the interior of the cleaning tank 12. Water arrives through the water inlet 19, passes through the wash water metering valve 17, which remains open until the wash water recirculation circuit is filled with water and which is then closed to allow water to run in a loop in the wash water recirculation circuit in order to allow the detergent to act for a sufficient period, for example about 20 minutes. This wash water pours into the cleaning tank 12, into which the detergent is also poured from the outside by the user at the beginning of the washing phase. Next, this wash water exits the cleaning tank 12 through its outlet 40, flows through the recirculation connection 39 which traverses the wall 5 of the cold room 6, traverses an open manual valve 42, this manual valve 42 being closed when hose 31 is not connected to it, passes through valve 32B, flows through hose 31B, is drawn from upstream and is discharged downstream of the pumping unit 21, passes through the filter 26, again traverses the wall 5 of the cold room 6, and passes through metering valve 16 which is open. The wash water metering valve 17 is closed. As a result, this wash water pours through the common outlet 13 back into the cleaning tank 12, and the cycle continues as long as the detergent contained in the flow of wash water can act to clean the entire water circulation circuit. Once the detergent has acted for a sufficient period, the pivotable part 14 of the common outlet 13 is redirected to the drainage outlet 15, and the dirty wash water is discharged into the sewer. The washing phase is completed. Before the washing phase, there is a prewash phase using water only, in other words without the addition of detergent, and after the washing phase there is a rinsing phase with water only. The rinsing phase with water only and/or the prewash phase can be carried out without recirculation of the water, meaning with the wash water discharged as soon as it has completed one circuit. FIG. 5 schematically represents an example of a system for dispensing liquid yeast in a bakery according to one embodiment of the invention, corresponding to a system of direct metering into the kneading machine without water flushing. The circulation of liquid yeast in the liquid yeast circulation circuit takes place in a manner similar or identical to FIG. 4, until it reaches the liquid yeast metering valve 16. This liquid yeast travels through the liquid yeast metering valve 16, which is open. The liquid yeast metering valve 16 here allows metering into the pitcher in degraded mode, in particular when direct metering into the kneading machine has become impossible. The wash water metering valve 17 is closed. Instead of pouring through the common outlet 13 into a pitcher 3 as in FIG. 4, the liquid yeast travels into a pipe 50 which may be several meters long, possibly more than 10 meters and even up to 20 or 30 meters or more, and then flows through a flowmeter 54, and an automatic valve 55 which is open until the set amount of liquid yeast is reached and closes as soon as the set amount of liquid yeast is reached. A water inlet 53 is connected to a manual valve 56, open while the user fills the kneading machine 60 with the desired amount of water, into which is mixed the liquid yeast coming from the automatic valve 55. When the automatic valve 55 is open, the manual valve 56 should be closed. When the manual valve 56 is open, the automatic valve 55 should be closed. Both the liquid yeast from the automatic valve 55 and the water from the manual valve 56 will flow into the kneading machine 60 through hose 52, connected in its position 52A so as to lead to just above the kneading machine 60. Valves 55 and 56 and the flowmeter 54 are grouped in a panel 8 connected to or integrated into the master cabinet 7 which uses setpoints to control, via the electrical connection 63, both the flowmeter 54 and the valve 55. This cabinet is at a distance from the cool room 6. The circulation of wash water in the water circuit is as follows. Water arrives through the water inlet 19, passes through the wash water metering valve 17, which remains open until the wash water recirculation circuit is full of water and is then closed so that the water can run in a loop in the wash water recirculation circuit in order to allow the detergent to act for a sufficient period, for example for about 20 minutes. Instead of pouring into the cleaning tank 12 through the common outlet as shown in FIG. 4, the wash water flows into a supply pipe 50 which may be several meters long, possibly more than 10 meters and even up to 20 or 30 meters or more, and then flows through a flowmeter 54, and an automatic valve 55 which is open. The manual valve 56 is closed. The wash water coming from the automatic valve 55 passes through hose 52, connected in its position 52B to a return pipe 51 which sends it back through an open valve 43, valve 44 being closed, to end in the cleaning tank 12, which serves as a vessel which can hold a varying volume, then flows out through the outlet 40 and continues to circulate in the water circuit in a manner identical or similar to FIG. 4, until it reaches the liquid yeast metering valve 16. This wash water passes through the liquid yeast metering valve 16, which is open, the wash water metering valve 17 being closed, and the cycle repeats. Once the detergent has acted for a sufficient period, after passing through the return pipe 51, the wash water is redirected towards the drainage outlet 15 via an open valve 44, valve 43 then being closed, and the dirty wash water is discharged into the sewer. The washing phase is completed. Before the washing phase, there is a prewash phase using water only, in other words without the addition of detergent, and after the washing phase there is a rinsing phase with water only. FIG. 6 schematically represents an example of a system for dispensing liquid yeast in a bakery according to one embodiment of the invention, corresponding to a system of direct metering into the kneading machine with water flushing. Two modes of operation are possible for supplying the liquid yeast. In a first mode, valve 36 is closed, and everything occurs in a manner identical or similar to FIG. 4, the liquid yeast flowing into the pitcher 3 through the liquid yeast metering valve 16 after passing through the liquid yeast filter 26. In a second mode, the liquid yeast metering valve 16 is closed, and after passing through the liquid yeast filter 26, the liquid yeast flows through an automatic valve 36 which is open, the automatic valve 25 located downstream of water inlet 29 being closed, and then flows through a flowmeter 22. Automatic valve 36 remains open as long as the liquid yeast setpoint is not reached and closes as soon as the liquid yeast setpoint is reached. Next, this liquid yeast travels into a pipe 50 which may be several meters long, possibly more than 10 meters and even up to 30 meters or more. Once automatic valve 36 is closed, automatic valve 25 opens and water from water inlet 29 flushes the liquid yeast which is in the supply pipe 50. This water that flushes the liquid yeast will pour into the kneading machine 60, flushed by the next dose of liquid yeast which itself will be flushed by water into the next kneading machine 60. A simultaneous operation with valves 16 and 36 open at the same time may also be considered. A water inlet 53 is connected to a manual valve 56, open while the user fills the kneading machine 60 with the desired amount of water, into which is mixed the liquid yeast coming from the supply pipe 50. When automatic valve 36 is open, manual valve 56 should be closed. When manual valve 56 is open, automatic valve 36 is closed. Thus both the liquid yeast from automatic valve 36 and the water from manual valve 56 will flow into the kneading machine 60 through hose 52, connected in its position 52A so as to lead to just above the kneading machine 60. Valves 36 and 25 and flowmeter 22 are grouped on the inner panel 2 attached against the wall 5 of the cold room 6. A slave cabinet 75 sends a control setpoint, via the electrical connection 63, to both flowmeter 22 and valve 36. In a non-limiting example, about 2 liters of liquid yeast are mixed in the kneading machine 60 into about 40-50 liters of water in total. The water flushing is preferably carried out at a rate of 10 liters of liquid for 30 meters of pipe. The circulation of wash water in the water circuit is as follows. Water comes from water inlet 19, passes through the open manual valve 17, joins the cleaning tank 12 and then flows out 40 to be drawn to the pumping unit 21, until the wash water recirculation circuit is full of water and is then closed to allow water to run in a loop in the wash water recirculation circuit in order to allow sufficient time for the detergent to act, for example about 20 minutes. At the outlet of the supply pipe 50, the wash water flows into hose 52 in connection position 52B, and the cleaning cycle continues in a manner identical or similar to that described in association with FIG. 5. FIG. 7 schematically represents an example of a system for dispensing liquid yeast in a bakery according to an embodiment of the invention, in a weekly wash configuration of the system with recirculation of the wash water. The weekly wash, corresponding to a prolonged shutdown of the system for dispensing liquid yeast, corresponds more specifically to a cleaning method which preferably comprises three steps. The first step is a pre-wash, in other words a washing of the entire liquid yeast dispensing circuit with water only, so as to empty the liquid yeast dispensing circuit of most of the liquid yeast remaining within. The second step is the actual wash, in other words washing the entire liquid yeast dispensing circuit with water and detergent. The detergent is advantageously added directly into the cleaning tank. In this second step, a first phase of 15 to 20 minutes is carried out with recirculation of the wash water containing the detergent, so that the detergent has plenty of time to act, followed by a second, shorter phase, which is carried out with drainage of the dirty wash water. The third step is a rinse, in other words a washing of the entire liquid yeast dispensing circuit with water only, in order to remove all remaining traces of detergent. Each of these cleaning phases will follow the next cycle, but although the washing phase generally involves recirculation in order to save water and detergent, the pre-washing and rinsing phases can be performed with an open circuit, in other words with the wash water discharged as soon as it has completed a loop. The circulation of wash water in the water circuit occurs as follows. Water enters through water inlet 19, passes through open valve 17, joins the cleaning tank 12 and then exits it 40 to be drawn toward the pumping unit 21 until the wash water recirculation circuit is full of water, and is then closed so that the water runs in a loop in the wash water recirculation circuit in order to allow sufficient time for the detergent to act, for example about 20 minutes. At the outlet of the supply pipe 50, the wash water passes through a flowmeter 57 and travels into hose 52 in connection position 52B. Next, the wash water flows through hose 52, connected in position 52B, to a return pipe 51 which rises to lead to the pivotable part 14 of the common outlet 13 directed towards the interior of the cleaning tank 12, which serves as a vessel of varying volume. This wash water will pour into the cleaning tank 12, into which the detergent is also poured from the outside by the user at the beginning of the washing phase, before exiting through the outlet 40 and continuing to circulate in the water circuit in a manner that is identical or similar to FIG. 4, until it travels back through the wall 5 of the cold room 6 toward the outer panel 1, and reaches valve 58, now open. This wash water flows through the open valve 58, valve 59 then being closed and the liquid yeast metering valve 16 also being closed, and the cycle continues until the detergent contained in the circulating wash water has cleaned the entire water circuit. The vessel 4 is disconnected from the inner circuit for the flow of liquid yeast, therefore manual valve 41 is closed. Once the detergent has acted for a sufficient period, the pivotable part 14 of the common outlet 13 is redirected to the drainage outlet 15, and the dirty wash water is discharged into the sewer. The washing phase is completed. Before the washing phase, there is a prewash phase using water only, in other words without the addition of detergent, and after the washing phase, there is a rinsing phase with water only. FIGS. 8 and 9 schematically represent portions and details of the system represented in FIG. 7. In FIG. 8, the cleaning tank 12 is cylindrical, and comprises an internal drainage tube 64. This internal drainage tube 64 is not in communication with the bottom of the cleaning tank 72 but opens directly into the drainage outlet 15. The cleaning tank 12 is covered by a cover 72. This cover 72 has two openings 76 and 77. Opening 76 is adapted to receive the bottom of the pivotable portion 14 so that the wash water can pour into the bottom of the cleaning tank 12, meaning when the wash water is flowing in recirculation mode, which corresponds to the arrangement in FIGS. 8 and 9 where the bottom of the pivotable part 14 is placed in opening 76. Opening 77 is adapted to receive the bottom of the pivotable part 14 so that the wash water can pour into the drainage tube 64 to be discharged through the drainage outlet 15, in other words when dirty wash water is being discharged. In FIG. 9, in addition to the drainage tube 64, there is also represented an overflow outlet 65 that prevents the cleaning tank 12 from overflowing. A rod 66 has one of its ends attached to the outer panel 1, while its other end terminates in a support ring adapted to support the top of the pivotable part 14 which here is not screwed onto the end of the common outlet 13 by a ring, but is simply flared and arranged as a funnel under the common outlet 13. The rod 66 is hinged to pivot relative to the outer panel 1, so as to allow the pivotable part 14 to pivot. The metering table 10 is preferably a plate or a sheet of metal having flaps located between the wall 5 of the cold room 6 and the outer panel 1, such that the long screws 70 pass through these flaps before entering the interior of the cold room 6, where the nuts 71 are screwed on. Alternatively, the metering table 10 may have been previously welded onto the outer panel 1 before said panel is attached against the wall 5 of the cold room 6. The metering table 10 is then also pre-mounted on the outer panel 1. This welding is performed in the shop and not during on-site installation in the bakery. FIGS. 10 and 11 schematically represent portions and details of the system represented in FIG. 7, but in a weekly wash configuration of the system with discharge of the wash water. Opening 77 is adapted to receive the bottom of the pivotable part 14 so that the wash water can flow into the drainage tube 64 before being discharged through the drainage outlet 15, meaning when the dirty wash water is being discharged, which corresponds to the arrangement of FIGS. 10 and 11 where the bottom of the pivotable part 14 is placed in opening 77. FIG. 12 very schematically represents an example of mounting the inner and outer panels in a sandwich enclosing the wall of the cold room in a self-supporting assembly. Through the cooperation of long screws 70 passing through the wall 5 of the cold room 6 with the nuts 71 screwed onto the long screws 70, the outer panel 1 is pressed and clamped against the wall 5 of the cold room 6 with a force F1, and the inner panel 2 is pressed and clamped against the wall 5 of the cold chamber 6 with a force F2. The clamping forces F1 and F2, respectively of the outer 1 and inner 2 panels, against the wall 5 of the cold chamber 6 are sufficient to hold the assembly in place, despite the weight of the various components pre-mounted on the outer 1 and inner 2 panels. The long screws 70 pass freely through the wall 5 of the cold chamber 6 in passages 18 and 39 of substantially larger size than the diameter of these long screws 70. Of course, the invention is not limited to the examples and the embodiment described and represented, but can be the object of numerous variants accessible to persons skilled in the art.",A21C11435,A21C114,20160114,,20160609,99984.0 30,15003589,PENDING,WAVEGUIDE TRANSMISSION,"We have seen that some waveguides exhibit variable and increasing back reflection of single wavelength illumination over time, limiting their effectiveness and reliability. We have developed approaches to improve the transmission of these waveguides. We have found that by modulating the illumination wavelength over a small wavelength range we can reduce or eliminate this back reflection from the waveguide. In addition, we describe the writing and erasing of gratings within SiON waveguides by forming standing waves. Methods, systems, instruments, and devices are described that provide improved transmission of light through such waveguides.","1.-29. (canceled) 30. A method for improving light transmission through a chip comprising at least one waveguide comprising: providing to a waveguide on the chip illumination light from a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 1 nm, and wherein the waveguide exhibits less back-reflection than when the laser wavelength is not modulated. 31.-48. (canceled) 49. The method of claim 30 wherein the laser wavelength is between about 500 nm and about 650 nm. 50. The method of claim 30 wherein the range of wavelength modulation is less than 500 picometers. 51. The method of claim 30 wherein the range of wavelength modulation is less than 250 picometers. 52. The method of claim 30 wherein the frequency of wavelength modulation is less than about 1 Hz. 53. The method of claim 30 wherein the frequency of wavelength modulation is less than about 0.1 Hz. 54. The method of claim 30 wherein the wavelength modulation is carried out by cycling the laser through more than two different wavelengths. 55. The method of claim 30 wherein the wavelength modulation is carried out by cycling the laser through 3 to 30 different wavelengths. 56. The method of claim 30 wherein the wavelength modulation is carried out by randomly addressing wavelengths within the range of wavelength modulation. 57. The method of claim 30 wherein the wavelength modulation is carried out by monitoring a back reflection level and changing the laser wavelength if the back reflection level exceeds a threshold level. 58. The method of claim 57 wherein the threshold level is between 0.1% and 2% of the intensity of complete back reflection. 59. The method of claim 57 wherein the back reflection level is determined by measuring a drop in forward transmission. 60. The method of claim 30 wherein the waveguide comprises a SiON core. 61. The method of claim 30 wherein the waveguide comprises a core that is surrounded by silicon dioxide. 62. The method of claim 30 wherein the chip comprises a sensor. 63. The method of claim 62 wherein the sensor comprises a CMOS sensor. 64. The method of claim 30 wherein the waveguides provide illumination to nanoscale wells on the chip comprising fluorescent species. 65.-73. (canceled)"," BACKGROUND OF THE INVENTION As multiplexed analytical systems continue to be miniaturized in size, expanded in scale, and increased in power, the need to develop improved systems capable of such functionality becomes more important. For example, in optical analyses, increasing multiplex often poses increased difficulties, as it may require more complex optical systems, increased illumination or detection capabilities, and new reaction containment strategies. In some cases, systems seek to increase multiplex by many fold, and even orders of magnitude, which further complicate these considerations. Likewise, in certain cases, the analytical environment for which the systems are to be used is so highly sensitive that variations among different analyses in a given system may not be tolerable. These goals are often at odds with a brute force approach of simply making systems bigger and of higher power, as such steps often give rise to even greater consequences, e.g., inter-reaction cross-talk, decreased signal to noise ratios resulting from either or both of lower signal and higher noise, and the like. It would therefore be desirable to provide analytical systems that have substantially increased multiplex for their desired analyses, and particularly for use in highly sensitive reaction systems, and in many cases, to do so while minimizing negative impacts of such increased multiplex. Conventional optical systems employ complex optical trains that direct, focus, filter, split, separate, and detect light to and from the sample materials. Such systems typically employ an assortment of different optical elements to direct, modify, and otherwise manipulate light entering and leaving a reaction site. Such systems are typically complex and costly and tend to have significant space requirements. For example, typical systems employ mirrors and prisms in directing light from its source to a desired destination. Additionally, such systems may include light-splitting optics such as beam-splitting prisms to generate two or more beams from a single original beam. Alternatives to the conventional optical systems have been described, in particular alternative systems having integrated optical components designed and fabricated within highly confined environments. There is, however, a continuing need to increase the performance of analytical systems, and in particular to improve the transmission of optical energy through waveguides, in particular transmitting light in the visible wavelength range."," BRIEF SUMMARY OF THE INVENTION In some aspects the invention provides a method for increasing the transmission of a waveguide that is prone to develop a resonance grating structure over time during laser illumination comprising: illuminating the waveguide with light from a laser; providing a modulation to the system over time during illumination wherein the modulation results in a change within the waveguide, wherein such change has dimensions greater than the resonance bandwidth of the grating structure that is prone to develop within the waveguide, thereby increasing the transmission through the waveguide over the transmission through the waveguide in the absence of such modulation. In some cases the modulation comprises modulating the wavelength of light illuminating the waveguide, wherein the range of wavelength modulation is less than 0.2 percent of the center of the range of wavelength modulation. In some cases the modulation comprises modulating the temperature of the waveguide over a temperature range. In some cases the temperature range is from about 3 degrees C. to about 50 degrees C. In some cases the frequency of modulation is greater than about 0.001 Hz. In some cases the frequency of modulation is between about 1 KHz and 0.01 Hz. In some cases the wavelength of the light from the laser is from about 450 nm to about 700 nm. In some cases the wavelength of the light from the laser is from about 500 nm to about 650 nm. In some cases the range of wavelength modulation is less than 500 picometers. In some cases the range of wavelength modulation is less than 250 picometers. In some cases the wavelength modulation is carried out by cycling the laser through two different wavelengths. In some cases the wavelength modulation is carried out by cycling the laser through 5 to 30 different wavelengths. In some cases the wavelength modulation is carried out by randomly addressing wavelengths within the range of wavelength modulation. In some cases the waveguide comprises a SiON core. In some cases the SiON core has a refractive index above about 1.6. In some cases the waveguide comprises a core surrounded by silicon dioxide. In some cases the waveguide is in a chip. In some cases the chip comprises a silicon chip. In some cases the chip comprises an optical detector. In some cases the optical detector comprises a CMOS detector. In some aspects the invention provides a system for improved waveguide transmission comprising: a laser providing illumination; and a chip receiving illumination from the laser comprising; at least one waveguide; and a heater in thermal contact with the chip for modulating the temperature of the at least one waveguide over time; wherein the heater periodically modulates the temperature of the chip over time, whereby the transmission through the waveguide is improved over the transmission through the waveguide when the temperature of the chip is not modulated. In some cases the temperature at the chip is modulated over a range of from about 3 degrees C. to about 50 degrees C. In some cases the temperature at the chip is modulated over a range of from about 5 degrees C. to about 20 degrees C. In some aspects the invention provides a system comprising: a laser providing illumination; and a chip receiving illumination from the laser comprising; at least one waveguide; a coupler for coupling the illumination light into the at least one waveguide; wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 0.2 percent of the average illumination wavelength. In some aspects the invention provides a method for providing illumination to a chip comprising at least one waveguide comprising: providing to the chip illumination light from a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 0.2 percent of the center of the range of wavelength modulation. In some cases the range of wavelength modulation is less than 0.1 percent of the average illumination wavelength. In some cases the range of wavelength modulation is less than about 500 picometers. In some cases the range of wavelength modulation is less than about 250 picometers. In some aspects the invention provides a system for improved waveguide transmission comprising: a laser providing illumination; and a chip receiving illumination from the laser comprising; at least one waveguide; and a coupler for coupling the illumination light into the at least one waveguide; wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 1 nm. In some aspects the invention provides a method for providing illumination to a chip comprising at least one waveguide comprising: providing to the chip illumination light from a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 1 nm. In some cases the laser wavelength is between about 450 nm and about 700 nm. In some cases the laser wavelength is between about 500 nm and about 650 nm. In some cases the range of wavelength modulation is less than 500 picometers. In some cases the range of wavelength modulation is less than 250 picometers. In some cases the frequency of wavelength modulation is less than about 1 Hz. In some cases the frequency of wavelength modulation is less than about 0.1 Hz. In some cases the wavelength modulation is carried out by cycling the laser through more than two different wavelengths. In some cases the wavelength modulation is carried out by cycling the laser through 3 to 30 different wavelengths. In some cases the wavelength modulation is carried out by randomly addressing wavelengths within the range of wavelength modulation. In some cases the wavelength modulation is carried out by monitoring a back reflection level and changing the laser wavelength if the back reflection level exceeds a threshold level. In some cases the threshold level is between 0.1% and 2% of the intensity of complete back reflection. In some cases the back reflection level is determined by measuring a drop in forward transmission. In some cases the waveguide comprises a SiON core. In some cases the waveguide comprises a core that is surrounded by silicon dioxide. In some cases the chip comprises a sensor. In some cases the sensor comprises a CMOS sensor. In some cases the waveguides provide illumination to nanoscale wells on the chip comprising fluorescent species. In some aspects the invention provides a method for illuminating a semiconductor waveguide that exhibits time-dependent back reflection comprising; illuminating the semiconductor waveguide with a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 0.2 percent of the average illumination wavelength, thereby reducing or eliminating the time-dependent back reflection. In some aspects the invention provides a method for producing a Bragg grating within an optical waveguide comprising: providing a SiON waveguide having a refractive index above 1.6; irradiating the waveguide with a writing beam having a periodic intensity corresponding to the desired grating for a period of time sufficient to form a grating within the waveguide. In some cases the irradiation is provided within the waveguide. In some cases the irradiation is provided external to the waveguide. In some cases the periodic intensity comprises a standing wave. In some cases the periodic intensity is provided by interference between two or more beams. In some cases the wavelength of the writing beam is from 450 nm to about 700 nm. In some cases the method further comprises erasing the Bragg grating that is formed by irradiation the waveguide with a second writing beam having a periodic intensity different than that of the writing beam used to form the Bragg grating. In some cases in addition to erasing the initial Bragg grating, the second writing beam produces a second Bragg grating having a different Bragg wavelength.","CROSS-REFERENCE TO RELATED APPLICATIONS This application claims the benefit of U.S. Provisional Application No. 62/107,310, filed Jan. 23, 2015, the full disclosure of which is incorporated herein by reference in its entirety for all purposes. STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH Not Applicable. BACKGROUND OF THE INVENTION As multiplexed analytical systems continue to be miniaturized in size, expanded in scale, and increased in power, the need to develop improved systems capable of such functionality becomes more important. For example, in optical analyses, increasing multiplex often poses increased difficulties, as it may require more complex optical systems, increased illumination or detection capabilities, and new reaction containment strategies. In some cases, systems seek to increase multiplex by many fold, and even orders of magnitude, which further complicate these considerations. Likewise, in certain cases, the analytical environment for which the systems are to be used is so highly sensitive that variations among different analyses in a given system may not be tolerable. These goals are often at odds with a brute force approach of simply making systems bigger and of higher power, as such steps often give rise to even greater consequences, e.g., inter-reaction cross-talk, decreased signal to noise ratios resulting from either or both of lower signal and higher noise, and the like. It would therefore be desirable to provide analytical systems that have substantially increased multiplex for their desired analyses, and particularly for use in highly sensitive reaction systems, and in many cases, to do so while minimizing negative impacts of such increased multiplex. Conventional optical systems employ complex optical trains that direct, focus, filter, split, separate, and detect light to and from the sample materials. Such systems typically employ an assortment of different optical elements to direct, modify, and otherwise manipulate light entering and leaving a reaction site. Such systems are typically complex and costly and tend to have significant space requirements. For example, typical systems employ mirrors and prisms in directing light from its source to a desired destination. Additionally, such systems may include light-splitting optics such as beam-splitting prisms to generate two or more beams from a single original beam. Alternatives to the conventional optical systems have been described, in particular alternative systems having integrated optical components designed and fabricated within highly confined environments. There is, however, a continuing need to increase the performance of analytical systems, and in particular to improve the transmission of optical energy through waveguides, in particular transmitting light in the visible wavelength range. BRIEF SUMMARY OF THE INVENTION In some aspects the invention provides a method for increasing the transmission of a waveguide that is prone to develop a resonance grating structure over time during laser illumination comprising: illuminating the waveguide with light from a laser; providing a modulation to the system over time during illumination wherein the modulation results in a change within the waveguide, wherein such change has dimensions greater than the resonance bandwidth of the grating structure that is prone to develop within the waveguide, thereby increasing the transmission through the waveguide over the transmission through the waveguide in the absence of such modulation. In some cases the modulation comprises modulating the wavelength of light illuminating the waveguide, wherein the range of wavelength modulation is less than 0.2 percent of the center of the range of wavelength modulation. In some cases the modulation comprises modulating the temperature of the waveguide over a temperature range. In some cases the temperature range is from about 3 degrees C. to about 50 degrees C. In some cases the frequency of modulation is greater than about 0.001 Hz. In some cases the frequency of modulation is between about 1 KHz and 0.01 Hz. In some cases the wavelength of the light from the laser is from about 450 nm to about 700 nm. In some cases the wavelength of the light from the laser is from about 500 nm to about 650 nm. In some cases the range of wavelength modulation is less than 500 picometers. In some cases the range of wavelength modulation is less than 250 picometers. In some cases the wavelength modulation is carried out by cycling the laser through two different wavelengths. In some cases the wavelength modulation is carried out by cycling the laser through 5 to 30 different wavelengths. In some cases the wavelength modulation is carried out by randomly addressing wavelengths within the range of wavelength modulation. In some cases the waveguide comprises a SiON core. In some cases the SiON core has a refractive index above about 1.6. In some cases the waveguide comprises a core surrounded by silicon dioxide. In some cases the waveguide is in a chip. In some cases the chip comprises a silicon chip. In some cases the chip comprises an optical detector. In some cases the optical detector comprises a CMOS detector. In some aspects the invention provides a system for improved waveguide transmission comprising: a laser providing illumination; and a chip receiving illumination from the laser comprising; at least one waveguide; and a heater in thermal contact with the chip for modulating the temperature of the at least one waveguide over time; wherein the heater periodically modulates the temperature of the chip over time, whereby the transmission through the waveguide is improved over the transmission through the waveguide when the temperature of the chip is not modulated. In some cases the temperature at the chip is modulated over a range of from about 3 degrees C. to about 50 degrees C. In some cases the temperature at the chip is modulated over a range of from about 5 degrees C. to about 20 degrees C. In some aspects the invention provides a system comprising: a laser providing illumination; and a chip receiving illumination from the laser comprising; at least one waveguide; a coupler for coupling the illumination light into the at least one waveguide; wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 0.2 percent of the average illumination wavelength. In some aspects the invention provides a method for providing illumination to a chip comprising at least one waveguide comprising: providing to the chip illumination light from a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 0.2 percent of the center of the range of wavelength modulation. In some cases the range of wavelength modulation is less than 0.1 percent of the average illumination wavelength. In some cases the range of wavelength modulation is less than about 500 picometers. In some cases the range of wavelength modulation is less than about 250 picometers. In some aspects the invention provides a system for improved waveguide transmission comprising: a laser providing illumination; and a chip receiving illumination from the laser comprising; at least one waveguide; and a coupler for coupling the illumination light into the at least one waveguide; wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 1 nm. In some aspects the invention provides a method for providing illumination to a chip comprising at least one waveguide comprising: providing to the chip illumination light from a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 1 nm. In some cases the laser wavelength is between about 450 nm and about 700 nm. In some cases the laser wavelength is between about 500 nm and about 650 nm. In some cases the range of wavelength modulation is less than 500 picometers. In some cases the range of wavelength modulation is less than 250 picometers. In some cases the frequency of wavelength modulation is less than about 1 Hz. In some cases the frequency of wavelength modulation is less than about 0.1 Hz. In some cases the wavelength modulation is carried out by cycling the laser through more than two different wavelengths. In some cases the wavelength modulation is carried out by cycling the laser through 3 to 30 different wavelengths. In some cases the wavelength modulation is carried out by randomly addressing wavelengths within the range of wavelength modulation. In some cases the wavelength modulation is carried out by monitoring a back reflection level and changing the laser wavelength if the back reflection level exceeds a threshold level. In some cases the threshold level is between 0.1% and 2% of the intensity of complete back reflection. In some cases the back reflection level is determined by measuring a drop in forward transmission. In some cases the waveguide comprises a SiON core. In some cases the waveguide comprises a core that is surrounded by silicon dioxide. In some cases the chip comprises a sensor. In some cases the sensor comprises a CMOS sensor. In some cases the waveguides provide illumination to nanoscale wells on the chip comprising fluorescent species. In some aspects the invention provides a method for illuminating a semiconductor waveguide that exhibits time-dependent back reflection comprising; illuminating the semiconductor waveguide with a laser, wherein the wavelength of the illumination provided by the laser is modulated over time, wherein the range of wavelength modulation is less than 0.2 percent of the average illumination wavelength, thereby reducing or eliminating the time-dependent back reflection. In some aspects the invention provides a method for producing a Bragg grating within an optical waveguide comprising: providing a SiON waveguide having a refractive index above 1.6; irradiating the waveguide with a writing beam having a periodic intensity corresponding to the desired grating for a period of time sufficient to form a grating within the waveguide. In some cases the irradiation is provided within the waveguide. In some cases the irradiation is provided external to the waveguide. In some cases the periodic intensity comprises a standing wave. In some cases the periodic intensity is provided by interference between two or more beams. In some cases the wavelength of the writing beam is from 450 nm to about 700 nm. In some cases the method further comprises erasing the Bragg grating that is formed by irradiation the waveguide with a second writing beam having a periodic intensity different than that of the writing beam used to form the Bragg grating. In some cases in addition to erasing the initial Bragg grating, the second writing beam produces a second Bragg grating having a different Bragg wavelength. BRIEF DESCRIPTION OF THE FIGURES FIG. 1A shows a system, apparatus, or portion of an apparatus for the invention. FIG. 1B shows a flow chart outlining a proposed mechanism for the time dependent back reflection. FIG. 2 shows an example of a dynamic grating written externally. FIG. 3 shows a block diagram of an integrated analytical device. FIG. 4 shows an example of a device architecture for performing optical analyses. FIG. 5 shows an example of an integrated device used for four color analysis. FIG. 6 shows a representative planar lightwave circuit. FIG. 7 shows a setup used for sending light into a waveguide and measuring the amount of light transmitted as well as the amount of reflected over time. FIG. 8 shows a set of measurements that illustrate the time dependent back reflection. FIG. 9 shows how a change in temperature resulted in the reduction of back reflection over time. FIG. 10 shows how a modulation in wavelength prevents the buildup of back reflection and can be used to avoid it. FIG. 11 shows a wavelength modulation scheme with approximately 6 different wavelengths periodically addressed. FIG. 12 shows how wavelength variation can hold down back reflection, thereby improving transmission over longer time periods. DETAILED DESCRIPTION OF THE INVENTION General In some aspects, the present invention provides methods, instruments, systems, and devices for improved transmission of light through waveguides. Some waveguides will exhibit back reflection that is variable over time when illuminated with a single wavelength, for example, with a laser. This can happen, for example, with SiON waveguides illuminated with laser light in the visible wavelength range. This backs reflection can be undesirable as it decreases the amount of light delivered by the waveguide. In addition, this back reflection can be undesirable because it can cause other problems such as being transmitted back into the laser, creating instability and laser damage. We have found that this back reflection can be significantly reduced or eliminated by illuminating the waveguide with light having a wavelength that is modulated in time. We have found that an effective amount of modulation of the wavelength can be very small, for example, less than about 500 pm (0.5 nm) for an average illumination wavelength of about 500 nm. In addition, we have found that we can significantly reduce or eliminate the back reflection in the waveguide by raising the temperature of the waveguide. While not being bound by theory, it is believed that time dependent back reflection can be caused by the formation within the waveguide of a Bragg grating by the illumination light. That is, the illumination light from a laser with a fixed wavelength “writes” a grating into the waveguide at a spacing corresponding to the wavelength of the light. The grating with this spacing will then cause the backward reflection of some of the light passing through the waveguide. In some cases, the backward reflected light is believed to further intensify the grating, resulting in even more back reflected light. In addition, we have seen evidence that a grating which is “written” into the waveguide as described above can be erased by exposure to illumination light at a different wavelength than the wavelength at which it was formed. It is believed that by modulating the frequency of the illumination light, we are able to inhibit the formation of the grating by not allowing sufficient time for it to form, and that in addition, by moving to a different wavelength, any grating that may have begun to have formed will be erased by illumination with the different wavelength light. The approaches described herein can be used with any suitable waveguide that exhibits this time-dependent back reflection phenomenon. The approaches can be used for instance, with chips described herein for analysis where the waveguides provide illumination light to wells for fluorescence measurements of samples within the wells. The approaches described herein can also be used with other waveguides on chips, such as with PLCs or FSCs. Other aspects of the invention provide methods of statically and dynamically creating waveguide Bragg gratings. We have found that we can write Bragg gratings within SiON waveguides using light in the visible range. The Bragg gratings can be formed by exposure to a standing wave of laser light in the visible range. We have also shown that the gratings formed in this manner can be erased, for example by exposure to standing waves of laser light. The laser light used for erasing can have a wavelength that is only slightly different in wavelength than the light of the original writing beam. For example, difference in wavelength between the writing and reading laser light can be less than 0.1 percent or less than 0.01 percent. In some cases the erasing light is at least greater than the resonance bandwidth for the Bragg grating. In some cases the erasing light is different from the writing light by greater than about 15 picometers, greater than about 20 picometers, greater than about 25 picometers, greater than about 30 picometers, or greater about than 50 picometers. In some cases, erasure is accomplished by providing laser light that has its wavelength modulated over time as described herein. The invention can be useful in improving the effectiveness of any type of device that carries light via waveguides. It can be useful for devices that contain waveguides that transmit light in the visible range, for example, that transmit light from about 450 nm to about 700 nm. It can be useful for waveguides formed on semiconductor chips, such as Silicon chips, and in particular such waveguides having a SiON core. Examples are analytical devices that measure levels of fluorescence for which the waveguides provide excitation illumination in the visible range, and PLC devices used in the visible range. Improving Transmission with Modulation of Wavelength or Waveguide Temperature FIG. 1A shows a system, apparatus, or portion of an apparatus for the invention. A laser 110 sends light 115 to a chip 170. In some cases optical element or elements 120 are used to shape, steer, or otherwise control the properties of the laser as it reaches the chip 170. The laser light enters the waveguide 140. The light is transmitted through the waveguide 140 to the area of interest on the chip 150. Here, a coupler is used to direct the laser light through space into the chip through a coupler 130, which can be, for example, a grating coupler. While a grating coupler is shown, it is to be understood that any type of coupler, prism, or other interface optical element can be used to direct the laser light into the fiber. It is typically desired that the waveguide have high levels of light transmission, that is, low levels of light loss as it transmits the light to the region of interest 150. In some cases, the waveguide will exhibit a time dependent back reflection when continuously illuminated with light of a fixed wavelength from the laser. We have seen that this back reflection can be significant, in some cases resulting in greater than 90% loss of the light. Even when the light loss is less than this, it can be a problem, both in terms of raising the requirement for illumination power for a given level of delivered light, and in terms of deleterious effects of the re-directed light. As described herein in more detail, we believe that the laser light in the waveguide is able to form a Bragg grating within the waveguide under some conditions, and that this Bragg grating can cause the reflection of light at the same wavelength that the grating was formed. We have found that we can reduce or eliminate this back reflection by providing a modulation to the system over time during illumination where the modulation results in a change within the waveguide, and where such change has dimensions greater than the resonance bandwidth of the grating structure that is prone to develop within the waveguide. In particular, we have found that we can reduce or eliminate the back reflection by modulating the wavelength of the illumination over time during illumination. We have found that we can either prevent the buildup of back reflection or can eliminate (erase) the back reflection by fairly small modulations in the wavelength of light. The light modulation can be, for example, less than 0.2 percent, less than 0.1 percent, or less than 0.001 percent of the center of wavelength modulation range. The light modulation can be, for example, less than about 1 nm, less than about 500 picometers, less than about 200 picometers, less than about 100 picometers, or less than about 50 picometers. The light modulation can be, for example from about 15 picometers to about 500 picometers, or from about 30 picometers to about 200 picometers. Being able to accomplish the increase in transmission through the waveguide with such a small variation in wavelength is very useful because in some cases, it allows the light delivered to the desired region to be effectively the same over time from the perspective of the application. For example, where the application is fluorescent analysis, and the light through the waveguide is excitation light, the fluorescent analysis is indifferent as to whether the wavelength of light has changed by this small amount. Another advantage is the ease of implementing this modulation in a laser system. Modulations of wavelength on this order can be obtained with a laser, for example by simply changing the temperature within the laser, for example by slightly changing the dimensions of the laser cavity. While one might be able to improve the transmission through the waveguide by using larger ranges of wavelength modulation, larger changes can be more difficult and more costly to implement. The modulation of wavelength can be done at the laser, or can be accomplished by using optical elements 120 between the laser 110 and the waveguide 140. The frequency of modulation can depend on the time it takes for the back reflection to develop in the waveguide. We have found that in some cases, wavelength modulation frequencies on the order of 0.001 can be effective. Wavelength modulations with frequencies above 1 KHz can also be used. One of skill in the art will find that there are frequencies that become impractical for a given laser and illumination optics. The wavelength can be modulated in any suitable manner. In some cases, there are two set points for wavelength, and the wavelength is periodically cycled between the two wavelengths. In some cases, there are more than two specific wavelengths within the range that are applied. For example, in some cases from 2 to 200 levels or from 3 to 100 levels or from 3 to 30 levels are applied. In some cases the discrete levels are repeatedly revisited in cycles, in some cases, wavelength levels within the range are randomly applied. In some cases, rather than specific wavelength levels, the wavelength is varied as a function such as a smooth function. The form of the modulation can be any suitable form including a sine wave, a sawtooth, or a square wave function. In some cases the laser wavelength can be dithered over the range of modulation. The dwell time at each wavelength can be, for example, from between 0.1 second to 100 seconds or from between 1 second and 30 seconds. Where there is a periodic wave function the period is typically between about 10 seconds and about 200 seconds. The wavelength steps are designed to be large enough to move off any incipient Bragg reflection condition. The wavelength steps can be, for example, from about 15 picometers to about 500 picometers. In some cases the laser emission is briefly extinguished while making a change in laser wavelength. We have found that if the time that the laser is extinguished is short enough, this is not a problem for use in exciting fluorophores. For example the time can be limited to a very fraction of the fluorescence detection integration time or a fraction of the camera frame time. Thus the power toggling does not substantially disturb the fluorescence signaling. In some cases it is desirable to have a wave function that provides a relatively long time between revisiting a wavelength that was previously used. One useful wave function to accomplish this objective is a sawtooth pattern in which a series of wavelengths are visited from low to high wavelength, then the wavelength is dropped back to the original low wavelength. A reverse sawtooth function stepping from low to high, and then returning to the high level can also be used. A sawtooth function with from 3 to 30 steps can be useful. In some cases, active wavelength control is used. In an active control approach the optics constantly monitor any Bragg reflection. The laser is held at a constant wavelength until a Bragg reflection is detected. At that point a new wavelength is commanded. In some cases, this approach will result in increased laser lifetime over the fixed function mode. Making fewer wavelength steps over time can reduce wear and tear on the laser. It can also be useful for minimizing power transients that could effect the stability of the fluorescence signal. As an example, the system triggers a change in wavelength when the strength of the Bragg reflection first exceeds a threshold level, for example from 0.1% to about 5% of full reflection intensity, or about 0.5% to about 2% of the full reflection intensity. The wavelength would be incremented, for example, by about 15 picometers to about 500 picometers, or by about 30 picometers to about 100 picometers. The system would then remain at the new wavelength until a Bragg reflection again exceeds the threshold level. The pattern of wavelengths visited could follow any suitable function, similar to those described for the fixed wavelength patterns. For example, the system can ramp up at each event, and then wrap around to a shorter wavelength after reaching the end of the specified wavelength range, i.e., the highest desired wavelength. There are some practical considerations for the choice of the reflection value at which to trigger the move to a new wavelength. One wishes to trigger at a low reflection value to order to arrest a possible runaway situation in which a substantial Bragg reflection quickly feeds on itself to produce a stronger reflection. This demands the use of a low reflection threshold. However, noise in the reflection detection system sets a practical lower limit to the threshold. We have found that a range of about 0.1% to about 5% or 0.5% to about 2% is a useful range. For active wavelength control there are various methods that can be used to measure the back reflection for triggering a wavelength change. This can be done, for example, with light sensors on the illuminated chip itself. It can also be done in free space with optical hardware mounted external to the chip. In some cases, on-chip structures such as splitter trees designed for the forward-going laser light do not necessarily efficiently transmit reflected light. To account for this, a structure dedicated to monitoring back reflection, such as a waveguide splitter that taps a small amount of backward going power can be used. Instead of monitoring the back reflection directly, in some cases, the back reflection can be monitored by observing a drop in forward transmission. In this approach the laser wavelength is incremented when the light intensity transmitted through the waveguide, e.g. reaching the active portion of the sequencing, chip dropped below a set threshold. The waveguide can be any suitable waveguide including a fiber, a planar waveguide, or a channel waveguide. The waveguide can be single mode or multi-mode waveguides, as these sorts of gratings can be used to manage the distribution of power in different transverse mode (even to couple them to radiation modes for detection in free space). The applications are routing (mode to mode), wavelength selection/spectral shaping, input/output coupling. One reason to consider using such a technique is that etching gratings on this scale requires very high end fab equipment, whereas making an interference pattern of the desired scale requires substantially less costly equipment. Also, this process can more straightforwardly making sinusoidal gratings (wrt etching), a type of grating which is often preferred for making a very precise mode selection (to reflect a very narrow spectral band, but transmit all others similar to an add/drop filter in telecom). The waveguide 140 is shown as being on a chip 170, which can be a semiconductor chip, for example, a silicon chip. Particular systems of interest with respect to the invention are SiON waveguides, for example formed on silicon chips. The SiON waveguide will have a core of SiON, and is typically surrounded by a cladding material of lower refractive index such as silicon dioxide. As is known in the art, SiON can be formed in a deposition process, and the ratio of the elements can be adjusted to control the properties. For example, the ratio of Oxygen to Nitrogen can be varied in order to change the refractive index of the film. For the SiON waveguides of the invention, the composition is often controlled to have a refractive index greater than about 1.6, greater than about 1.7, or greater than about 1.8. The refractive index can be measured, for example, at the sodium D line. We have found that another approach to reduce or eliminate back reflections and thereby improve waveguide transmission is to modulate the temperature of the waveguide. This method does not require the laser wavelength to be modulated over time, however, in some cases the two approaches can be used together. Any suitable means can be employed for modulating the temperature of the waveguide. For example, a heating element or heating/cooling element can be brought into thermal contact with the waveguide. Where the waveguide is in a chip, the heating element or heating/cooling element can be in physical contact with the chip 170. Radiation methods such as infrared illumination can also be used. There are various methods for applying heat in order to improve transmission through the waveguide. In the simplest case, one can run the chip at a fixed temperature with a fixed wavelength laser for a period of time during which, even if a back reflection begins to form, the level of loss is acceptable. Then the waveguide is brought to a different temperature, e.g. 5 degrees different than the previous waveguide temperature. In some cases this will produce a large enough change within the waveguide such that little or no back reflection will be seen. For example, in carrying out an analysis, the analysis may take 1 hour to complete, during which time a back reflection of 2% is formed. If this level of transmission loss is acceptable, then prior to the next analysis, the temperature of the chip is set to a new temperature for the duration of the second analysis, and so on. The same approach can be taken with wavelength modulation, simply varying at a time period that corresponds with use. Another approach to modulating the temperature or the wavelength to reduce or eliminate back reflection is to use a measurement of reflection or transmission over time to set the modulation. For example, a feedback loop is set up in which, when the back reflection or loss in transmission reaches a certain value, the temperature or wavelength is changed to a new value. In many cases it is desirable to just carry out the modulation over a given known frequency as described herein, either by cycling specific values, randomly hitting values within a range, or modulating the wavelength or temperature over the range through a function. The intensity of the illumination light can be adjusted in order to control the rate of appearance and of removal of the back reflection. In some cases light of greater than 1 mW, greater than 2 mW, greater than 5 mW, greater than 10 mW or greater than 20 mW is used. FIG. 1B shows a flow chart outlining a proposed mechanism for the time dependent back reflection. It is believed that a standing wave pattern is formed within the waveguide (step I). The standing wave pattern can be formed, for example, but backscatter from a defect or reflection from a portion of the waveguide routing pattern such as an exit facet. In step II there is a material response, for example at m*hc/l, & L such as a 2-photon absorption that affects UV color centers. The UV absorption change can then result in a change in the refractive index at the illumination wavelength. This change results in a photo-inscribed volume phase Bragg grating (step III). The Bragg grating results in even more of the light sent back (step IV). The increased backward traveling light intensifies the standing wave pattern (step V). As can be seen, this step feeds back, in a manner in which the initial grating can become more and more effective, sending back a higher and higher proportion of the light. This mechanism is consistent with our observations of the time dependent back reflection. A similar mechanism can then also be invoked to understand the mechanism for erasing or detuning the grating by modulating the wavelength of the illumination light or the temperature of the waveguide. At a new wavelength, the old grating will be ineffective, and to the extent that a new grating is written, it can tend to erase the previous grating. Analogously, a change in temperature can change, the properties of the waveguide such that the original wavelength no longer corresponds to the period of the original grating. The changes in the properties of the waveguide can be changes in dimension, changes in refractive index, or changes in absorption. Producing a Static or Dynamic Waveguide Bragg Grating In some aspects, the invention provides a method of producing a grating within a waveguide. The grating that is produced can be either static (for example written at one, lower wavelength, and utilized at a higher wavelength), or dynamic, such that the grating can be written, erased, and written again. Each time it is written can be with a different set of wavelengths. In one aspect the method uses a waveguide having a SiON core where the SiON has a refractive index grating above about 1.6, above about 1.7 or above about 1.8. The light used to produce the gratings can be in the visible, for example from 450 nm to 700 nm. The light could also be below 450 in some cases. In many cases, the gratings are formed by light that is at a higher wavelength than would normally be considered for writing such gratings. We believe that the The gratings can be written internally in the waveguide by the mechanisms and structures described above. In addition, the gratings can be written by providing the light required for writing externally. Dynamic gratings require a path for irradiance in the UV to erase the grating previously formed (presumably by an external writing method). One formulation of that would be to have two routing paths for which one could make a decision subsequently. Note that longer period gratings could be used to couple light between polarization states, or transverse modes (or output couple to a radiation mode). FIG. 2 shows an example of a dynamic grating written externally. With more complexity, this could be writing a grating rather than erasing a grating. Note that the erasure may also be partial, if one wished to use this as a controlled splitter, or an equalizer. As telecom has the distinct advantage of having amplifiers, even a weak signal can be useful in distributing signals. Note that for a known pair of wavelengths, one can make a fan in geometry as well as the fan out shown in FIG. 2. Waveguide Addressed Analytical Systems In some aspects the present invention is directed to improved systems, devices and methods for performing analytical operations, and particularly optical analysis of chemical, biochemical and biological reactions for use in chemical, biological, medical and other research and diagnostic applications. The systems, devices and methods of the invention are particularly suited for application in integrated analytical components, e.g., where multiple functional components of the overall analysis system are co-integrated within a single modular component. However, as will be clear upon reading the following disclosure, a number of aspects of the invention will have broad utility outside of such integrated devices and systems. In general, the optical analyses that are subject of the present invention, seek to gather and detect one or more optical signals from a reaction of interest, the appearance or disappearance of which, or localization of which, is indicative of a given chemical or biological reaction and/or the presence or absence of a given substance within a sample material. In some cases, the reactants, their products, or substance of interest (all of which are referred to as reactants herein) inherently present an optically detectable signal which can be detected. In other cases, reactants are provided with exogenous labeling groups to facilitate their detection. Nucleic Acid Sequencing In a number of different nucleic acid sequencing analyses, fluorescently labeled nucleotides are used to monitor the polymerase-mediated, template-dependent incorporation of nucleotides in a primer extension reaction. In particular, a labeled nucleotide is introduced to a primer template polymerase complex, and incorporation of the labeled nucleotide is detected. If a particular type of nucleotide is incorporated at a given position, it is indicative of the underlying and complementary nucleotide in the sequence of the template molecule. In traditional Sanger sequencing processes, the detection of incorporation of labeled nucleotides utilizes a termination reaction where the labeled nucleotides carry a terminating group that blocks further extension of the primer. By mixing the labeled terminated nucleotides with unlabeled native nucleotides, one generates nested sets of fragments that terminate at different nucleotides. These fragments are then separated by capillary electrophoresis, to separate those fragments that differ by a single nucleotide, and the labels for the fragments are read in order of increasing fragment size to provide the sequence (as provided by the last added, labeled terminated nucleotide). By providing a different fluorescent label on each of the types of nucleotides that are added, one can readily differentiate the different nucleotides in the sequence (e.g., U.S. Pat. No. 5,821,058, incorporated herein for all purposes by this reference). In newer generation sequencing technologies, arrays of primer-template complexes are immobilized on surfaces of substrates such that individual molecules or individual and homogeneous groups of molecules are spatially discrete from other individual molecules or groups of molecules, respectively. Labeled nucleotides are added in a manner that results in a single nucleotide being added to each individual molecule or group of molecules. Following the addition of the nucleotide, the labeled addition is detected and identified. In some cases, the processes utilize the addition of a single type of nucleotide at a time, followed by a washing step. The labeled nucleotides that are added are then detected, their labels removed, and the process repeated with a different nucleotide type. Sequences of individual template sequences are determined by the order of appearance of the labels at given locations on the substrate. In other similar cases, the immobilized complexes are contacted with all four types of labeled nucleotides where each type bears a distinguishable fluorescent label and a terminator group that prevents the addition of more than one nucleotide in a given step. Following the single incorporation in each individual template sequence (or group of template sequences,) the unbound nucleotides are washed away, and the immobilized complexes are scanned to identify which nucleotide was added at each location. Repeating the process yields sequence information of each of the template sequences. In other cases, more than four types of labeled nucleotides are utilized. In particularly elegant approaches, labeled nucleotides are detected during the incorporation process, in real time, by individual molecular complexes. Such methods are described, for example, in U.S. Pat. No. 7,056,661, which is incorporated herein by reference in its entirety for all purposes. In these processes, nucleotides are labeled on a terminal phosphate group that is released during the incorporation process, so as to avoid accumulation of label on the extension product, and avoid any need for label removal processes that can be deleterious to the complexes. Primer/template polymerase complexes are observed during the polymerization process, and nucleotides being added are detected by virtue of their associated labels. In one particular aspect, they are observed using an optically confined structure, such as a zero mode waveguide (See, e.g., U.S. Pat. No. 6,917,726, which is incorporated herein by reference in its entirety for all purposes) that limits exposure of the excitation radiation to the volume immediately surrounding an individual complex. As a result, only labeled nucleotides that are retained by the polymerase during the process of being incorporated are exposed to excitation illumination for a time that is sufficient to identify the nucleotide. In another approach, the label on the nucleotide is configured to interact with a complementary group on or near the complex, e.g., attached to the polymerase, where the interaction provides a unique signal. For example, a polymerase may be provided with a donor fluorophore that is excited at a first wavelength and emits at a second wavelength, while the nucleotide to be added is labeled with a fluorophore that is excited at the second wavelength, but emits at a third wavelength (See, e.g., U.S. Pat. No. 7,056,661, previously incorporated herein). As a result, when the nucleotide and polymerase are sufficiently proximal to each other to permit energy transfer from the donor fluorophore to the label on the nucleotide, a distinctive signal is produced. Again, in these cases, the various types of nucleotides are provided with distinctive fluorescent labels that permit their identification by the spectral or other fluorescent signature of their labels. In the various exemplary processes described above, detection of a signal event from a reaction region is indicative that a reaction has occurred. Further, with respect to many of the above processes, identification of the nature of the reaction, e.g., which nucleotide was added in a primer extension reaction at a given time or that is complementary to a given position in a template molecule, is also achieved by distinguishing the spectral characteristics of the signal event. The optical paths of the overall systems of the invention serve one or more roles of delivering excitation radiation to the reaction region, e.g., to excite fluorescent labeling molecules that then emit the relevant optical signal, conveying the optical signal emitted from the reaction region to the detector, and, for multispectral signals, i.e., multiple signals that may be distinguished by their emission spectrum, separating those signals so that they may be differentially detected, e.g., by directing different signals to different detectors or different locations on the same detector array. The differentially detected signals are then correlated with both the occurrence of the reaction, e.g., a nucleotide was added at a given position, and the determination of the nature of the reaction, e.g., the added nucleotide is identified as a particular nucleotide type, such as adenosine. In conventional analytical systems, the optical trains used to deliver excitation light to the reaction regions, and convey optical signals from the reaction regions to the detector(s) can impart size, complexity and cost aspects to the overall system that would preferably be reduced. For example, such optical trains may include collections of lenses, dispersion elements, beam splitters, beam expanders, collimators, spatial and spectral filters and dichroics, that are all assembled to deliver targeted and uniform illumination profiles to the different reactions regions. In large scale systems, these components must be fabricated, assembled, and adjusted to ensure proper alignment, focus, and isolation from other light and vibration sources to optimize the transmission of excitation light to the reaction regions. As the number of addressed reaction regions, or the sensitivity of the system to variations in excitation light intensity is increased, addressing these and other issues becomes more important, and again typically involves the inclusion of additional componentry to the optical train, e.g., alignment and focusing mechanisms, isolation structures, and the like. With respect to the collection and detection of optical signals, conventional systems typically employ optical trains that gather emitted optical signals from the reaction region, e.g., through an objective lens system, transmit the various different signals through one or more filter levels, typically configured from one or more dichroic mirrors that differentially transmit and reflect light of different wavelengths, in order to direct spectrally different optical signals to different detectors or regions on a given detector. These separated optical signals are then detected and used to identify the nature of the reaction that gave rise to such signals. As will be appreciated, the use for such differential direction optics imparts substantial space, size and cost requirements on the overall system, in the form of multiple detectors, multiple lens and filter systems, and in many cases complex alignment and correlation issues. Many of these difficulties are further accentuated where the optical trains share one or more sub-paths with the excitation illumination, as signal processing will include the further requirement of separating out excitation illumination from each of the detected signals. Again, as with the excitation optical train, above, as the sensitivity and multiplex of the system is increased, it increases the issues that must be addressed in these systems, adding to the complexity of already complex optical systems. Further, the greater the number of optical components in the optical train, the greater the risk of introducing unwanted perturbations into that train and the resulting ability to detect signal. For example, optical aberrations in optical elements yield additional difficulties in signal detection, as do optical elements that may inject some level of autofluorescence into the optical train, which then must be distinguished from the signaling events. Integrated Devices The present invention is directed, in part, to systems, devices and methods that utilize the waveguide illumination devices and methods described herein within integrated detection and optical path components in small scale devices that optionally also include one or more of the reaction regions themselves, fluidic components for the reaction of interest, and excitation illumination paths and optionally excitation illumination sources. Integration of some or all of above described components into a single, miniaturized device addresses many of the problems facing larger, non-integrated analytical systems, such as size, cost, weight, inefficiencies associated with long path or free space optics, and the like. For example, highly multiplexed analytical systems comprising integrated waveguides for the illumination of nanoscale samples are described in U.S. Patent Application Publication Nos. 2008/0128627 and 2012/0085894 Further optical systems for the analysis of nanoscale samples, including the illumination and detection of such samples, are described in U.S. Patent Application Publication Nos. 2012/0014837, 2012/0021525, and 2012 0019828. Additional nanoscale illuminations systems for highly multiplexed analysis are described in U.S. Patent Application Publication Nos. 2014/0199016 and 2014/0287964. Other examples of such integrated systems are described, for example, in U.S. Published Patent Application Nos. 2012/0014837, 2012/0019828, and 2012/0021525, and Provisional Patent No. 61/738,637, filed Dec. 18, 2012, the entire contents of each of which are incorporated herein by reference in their entirety for all purposes. By integrating the detection elements with the reaction regions, either directly or as a coupled part, one can eliminate the need for many of the various components required for free space optics systems, such as much of the conveying optics, lenses, mirrors, etc., as well as, among other things, various alignment functionalities, as alignment is achieved through integration. The present invention seeks to further improve the benefits afforded by such devices by simplifying, to a greater extent, the optical components of the analytical devices, further reducing the cost and complexity of such devices and improving available signal in the process. The analytical system in accordance with the present invention employs one or more analytical devices. In an exemplary embodiment, the system includes an array of analytical devices formed as a single integrated device. The exemplary array is configured for single use as a consumable. In various embodiments, the integrated optical element includes other components including, but not limited to local fluidics, electrical connections, a power source, illumination elements, a detector, logic, and a processing circuit. Each analytical device or array is configured for performing an analytical operation as described above. While the components of each device and the configuration of the devices in the system may vary, each analytical device can comprise, at least in part, the general structure shown as a block diagram in FIG. 3. As shown, an analytical device 300 typically includes a reaction cell 302, in which the reactants are disposed and from which the optical signals emanate. “Reaction cell” is to be understood as generally used in the analytical and chemical arts and refers to the location where the reaction of interest is occurring. Thus, “reaction cell” may include a fully self-contained reaction well, vessel, flow cell, chamber, or the like, e.g., enclosed by one or more structural barriers, walls, lids, etc., or it may comprise a particular region on a substrate and/or within a given reaction well, vessel, flow cell or the like, e.g., without structural confinement or containment between adjacent reaction cells. The reaction cell may include structural elements to enhance the reaction or its analysis, such as optical confinement structures, nanowells, posts, surface treatments, such as hydrophobic or hydrophilic regions, binding regions, or the like. In various respects, “analytical device” refers to a reaction cell and associated components that are functionally connected. In various respects, “analytical system” refers to one or more associated analytical devices and associated components. In various respects, “analytical system” refers to the larger system including the analytical system and other instruments for performing an analysis operation. For example, in some cases, the analytical devices of the invention are part of an analytical instrument or analytical system. The analytical device can be removably coupled into the instrument. Reagents can be brought into contact with the analytical device before or after the analytical device is coupled with the system. The system can provide electrical signals and/or illumination light to the analytical device, and can receive electrical signals from the detectors in the analytical device. The instrument or system can have computers to manipulate, store, and analyze the data from the device. For example, the instrument can have the capability of identifying and sequences of added nucleotide analogs for performing nucleic acid sequencing. The identification can be carried out, for example, as described in U.S. Pat. No. 8,182,993, which is incorporated herein by reference for all purposes. In some cases, one or more reactants for the reaction of interest may be immobilized, entrained or otherwise localized within a given reaction cell. A wide variety of techniques are available for localization and/or immobilization of reactants, including surface immobilization through covalent or non-covalent attachment, bead or particle based immobilization, followed by localization of the bead or particle, entrainment in a matrix at a given location, and the like. Reaction cells may include ensembles of molecules, such as solutions, or patches of molecules, or it may include individual molecular reaction complexes, e.g., one molecule of each molecule involved in the reaction of interest as a complex. Similarly, the overall devices and systems of the invention may include individual reaction cells or may comprise collections, arrays or other groupings of reaction cells in an integrated structure, e.g., a multiwall or multi-cell plate, chip, substrate or system. Some examples of such arrayed reaction cells include nucleic acid array chips, e.g., GeneChip® arrays (Affymetrix, Inc.), zero mode waveguide arrays (as described elsewhere herein), microwell and nanowell plates, multichannel microfluidic devices, e.g., LabChip® devices (Caliper Life Sciences, Inc.), and any of a variety of other reaction cells. In various respects, the “reaction cell”, sequencing layer, and zero mode waveguides are similar to those described in U.S. Pat. No. 7,486,865 to Foquet et al., the entire contents of which are incorporated herein for all purposes by this reference. In some cases, these arrayed devices may share optical components within a single integrated overall device, e.g., a single waveguide layer to deliver excitation light to each reaction region. Approaches to illuminating analytical devices with waveguides are provided in U.S. Pat. Nos. 8,207,509, and 8,274,040 which are incorporated herein by reference for all purposes. Although an analytical device may include an array of analytical devices having a single waveguide layer and reaction cell layer, one will appreciate that a wide variety of layer compositions may be employed in the waveguide array substrate and cladding/reaction cell layer and still achieve the goals of the invention (see, e.g., published U.S. Pat. No. 7,820,983, incorporated herein for all purposes by reference). The analysis system typically includes one or more analytical devices 300 as illustrated in FIG. 3 having a detector element 320, which is disposed in optical communication with the reaction cell 302. Optical communication between the reaction cell 302 and the detector element 320 may be provided by an optical train 304 comprised of one or more optical elements generally designated 306, 308, 310 and 312 for efficiently directing the signal from the reaction cell 302 to the detector 320. These optical elements may generally comprise any number of elements, such as lenses, filters, gratings, mirrors, prisms, refractive material, or the like, or various combinations of these, depending upon the specifics of the application. In addition to components for directing the optical signal from the reaction region to the detector, the chip can also have optical components for delivering illumination light to the reaction regions for performing fluorescent measurements. In various embodiments, the reaction cell 302 and detector element 320 are provided along with one or more optical elements in an integrated device structure. By integrating these elements into a single device architecture, one improves the efficiency of the optical coupling between the reaction cell and the detector. As used herein, the term integrated, when referring to different components of an analytical device typically refers to two or more components that are coupled to each other so as to be immobile relative to each other. As such, integrated components may be irreversibly or permanently integrated, meaning that separation would damage or destroy one or both elements, or they may be removably integrated, where one component may be detached from the other component, provided that when they are integrated, they are maintained substantially immobile relative to one another. In some cases, the components are integrated together in one chip. In some cases, the detector portion is part of a separate instrument, and the reaction cell component is part of a chip. In the case where the reaction cell component is in a chip separate from the detector component, optical element components for directing the optical signal from the reaction cell to the detector can be in either the reaction cell component chip, in the detector component, or a combination in which some components are in the reaction cell component chip and others are in the detector. For the devices, methods and systems of the invention, even where the detector is part of an instrument and separate from the reaction cell component chip, the chip will typically be placed directly onto the detector with a minimal open space between the reaction cell chip and the detector. In some cases, the space between the reaction cell chip and the detector will be less than 1 micron, less than 10 microns, less than 100 microns, or less than a millimeter. The chip will typically have alignment structures to allow for the precise alignment of the reaction cells with the portions of the detector to which they correspond. Where the reaction cell component, optical components, and detector are irreversibly or permanently integrated into a chip, such a chip can be produced by fabrication in a monolithic form, or two or more of the components can be manufactured separately and connected together to form the chip. The connection between the chip components can be accomplished by any suitable method including adhesion and wafer bonding. The choice of whether to have all of the components integrated into a chip or to have the detector component separately associated with the instrument can be made depending on the application. A permanently integrated chip approach has the advantage that the detector can be manufactured in intimate contact with the reaction cell and other components under controlled conditions allowing for precise registration. The approach in which the detector is not integrated into the reaction cell chip, but is part of the instrument has the advantage that the detector can be used over and over again with different reaction cell chips. In conventional optical analysis systems, discrete reaction vessels are typically placed into optical instruments that utilize free-space optics to convey the optical signals to and from the reaction vessel and to the detector. These free space optics tend to include higher mass and volume components, and have free space interfaces that contribute to a number of weaknesses for such systems. For example, such systems have a propensity for greater losses given the introduction of unwanted leakage paths from these higher mass components, and typically introduce higher levels of auto-fluorescence, all of which reduce the signal to noise ratio (SNR) of the system and reduce its overall sensitivity, which, in turn can impact the speed and throughput of the system. Additionally, in multiplexed applications, signals from multiple reaction regions (i.e., multiple reaction cells, or multiple reaction locations within individual cells), are typically passed through a common optical train, or common portions of an optical train, using the full volume of the optical elements in that train to be imaged onto the detector plane. As a result, the presence of optical aberrations in these optical components, such as diffraction, scattering, astigmatism, and coma, degrade the signal in both amplitude and across the field of view, resulting in greater noise contributions and cross talk among detected signals. The analytical systems and devices in accordance with the present invention typically include a reaction region, vessel or zone that is either physically integrated with a detection component or sensor, or provided sufficiently proximal and in sensory communication with the detection component or sensor to improve performance. Such devices have sought to take advantage of the proximity of the reaction region or vessel in which signal producing reactions are occurring, to the detector or detector element(s) that sense those signals, in order to take advantage of benefits presented by that proximity. As alluded to above, such benefits include the reduction of size, weight and complexity of the optical train, and as a result, increase the potential multiplex of a system, e.g., the number of different reaction regions that can be integrated and detected in a single device. Additionally, such proximity potentially provides benefits of reduced losses during signal transmission, reduced signal cross-talk from neighboring reaction regions, and reduced costs of overall systems that utilize such integrated devices, as compared to systems that utilize large free space optics and multiple cameras in signal collection and detection. In the systems of the present invention, there are a number of design optimization criteria. For example, in the context of integrated detection systems, an over-arching goal is in the minimization of intervening optical elements that could interfere with the efficient conveyance of optical signals from the reaction region to the detector, as well as contribute to increased costs and space requirements for the system, by increasing the complexity of the optical elements between the reaction regions and the sensors. Additionally, and with added importance for single molecule detection systems, it is also important to maximize the amount of optical signal that is detected for any given reaction event. In particular, in optical detection of individual molecular events, one is relying on a relatively small number of photons that correspond to the event of interest. While high quantum yield labeling groups, such as fluorescent dyes, can improve detectability, such systems still operate at the lower end of detectability of optical systems. Fluorescent dyes for analytical reactions are well known. Any suitable fluorescent dye can be used, for example, as described in 61/649,058 filed May 18, 2012—Heteroarylcyanine Dyes, US 20120058473—Molecular Adaptors for Dye Conjugates, US 20120077189—Scaffold-Based Polymerase Enzyme Substrates, US 20120052506—Cyanine Dyes, US 20120058469—Functionalized Cyanine Dyes (PEG), US 20120058482—Functionalized Cyanine Dyes ((Central Carbon), US 20100255488—Fret-Labeled Compounds and Uses Therefor, US 20090208957—Alternate Labelling Strategies for Single Molecule Sequencing In the context of the integrated devices and systems of the present invention, the size and complexity of the optical pathways poses a greater difficulty, as there is less available space in which to accomplish the goals of separation of excitation and signal, or separation of one signal from the next. Accordingly, the systems, devices and methods of the invention take advantage of simplified optical paths associated with the analyses being carried out, in order to optimize those analyses for the integrated nature of those devices and systems. FIG. 4 illustrates an example of a device architecture for performing optical analyses, e.g., nucleic acid sequencing processes or single molecule binding assay, that uses the filters of the present invention. As shown, an integrated device 400 includes a reaction region 402 that is defined upon a first substrate layer 404. As shown, the reaction region comprises a well 402 disposed in the substrate surface. Such wells may constitute depressions in a substrate surface or apertures disposed through additional substrate layers to an underlying transparent substrate, e.g., as used in zero mode waveguide arrays (See, e.g., U.S. Pat. Nos. 7,181,122 and 7,907,800). FIG. 4 illustrates a portion of a device having one reaction cell 402. Typically a device will have multiple reaction cells, for example thousands to millions or more reaction cells. Excitation illumination is delivered to the reaction region from an excitation light source (not shown) that may be separate from or also integrated into the substrate. As shown, an optical waveguide (or waveguide layer) 406 is used to convey excitation light (shown by arrows) to the reaction region/well 402, where the evanescent field emanating from the waveguide 406 illuminates reactants within the reaction region 402. Use of optical waveguides to illuminate reaction regions is described in e.g., U.S. Pat. Nos. 7,820,983, 8,207,509, and 8,274,040, which is incorporated herein by reference for all purposes. The integrated device 400 optionally includes light channeling components 408 to efficiently direct emitted light from the reaction regions to a detector layer 412 disposed beneath the reaction region. The detector layer will typically comprise multiple detector elements, for example the four detector elements 412a-d that are optically coupled to a given reaction region 402. For sequencing applications, often it is desirable to monitor four different signals in real time, each signal corresponding to one of the nucleobases. Although illustrated as a linear arrangement of pixels 412a-d, it will be appreciated that the detector elements may be arranged in a grid, n×n square, annular array, or any other convenient orientation. In some cases, each of the detector elements or channels will have a single pixel. In some cases, the detector elements will each comprise multiple pixels. The detector elements are connected electrically to conductors that extend out of the chip for providing electrical signals to the detector elements and for sending out signals from the detector elements. Emitted signals from the reaction region 402 that impinge on these detector elements are then detected and recorded. As noted above, above each of the detector elements, each corresponding to a channel is disposed a color filter in filter layer 410. Here filter a corresponds to channel a, filter b corresponds to channel b, etc. As described in detail above, the set of filters is designed to allow for a high yield of captured photons, for example with each color filter having one or more blocking bands that block the signal from a portion of one or more of the spectrally distinct signals emitted from the reaction occurring in reaction region 402. As described herein, the filters are designed to allow a large percentage of the emitted photons while still discriminating between the four bases. In some cases, optical elements are provided to selectively direct light from given sets of wavelengths to given detector elements. Typically, no specific light re-direction is used, such that the light reaching each of the filter layers the detector element is substantially the same. The detector layer is then operably coupled to an appropriate circuitry, typically integrated into the substrate, for providing a signal response to a processor that is optionally included integrated within the same device structure or is separate from but electronically coupled to the detector layer and associated circuitry. Examples of types of circuitry are described in U.S. Published Patent Application No. 2012/0019828, previously incorporated herein. With reference to an integrated device used for four color analyses, as alluded to above, an exemplary structure is shown in FIG. 5. As shown, the analytical device includes a reaction cell 502 that is coupled with a reagent reservoir or fluid conduit 506 which delivers reactants to the reaction cell 502. The reaction cell can be a nanoscale well or zero mode waveguide. In some cases, the reaction cell will have a biomolecule such as a polymerase enzyme immobilized within it. The fluidic conduit can provide reagents across a number of reaction cells. Below the reaction cell is a waveguide for providing excitation illumination to the reagents within the reaction cell. While a waveguide is shown here, other optical elements such as those provided elsewhere herein can be used to provide light from under the reaction cell. The illumination light can be used to excite fluorescent emission from reagents with the reactor cell. The light emitted from the reaction cell is directed downward through a transmission layer, which acts to transmit the light from the reaction cell to the detector. In some cases, the transmission layer will have optical components to enhance the efficiency of the light transfer or modulate the light. In the analytical device of FIG. 5, an optical tunnel or conduit 508 is disposed in optical communication with the reaction cell 502, which is in turn in optical communication with sensing elements 510 in the detector, where the light reaching each of the 4 sensing elements on the detector passes through a different filter. As shown, each reaction cell is optically coupled to a detector or detector element that includes 4 regions or pixel subsets, each region or pixel subset including a filter layer, and each filter layer including at least one blocking band as described herein. Each filter sends the appropriate set of wavelengths of light to the appropriate pixel subset shown as a discriminating region in FIG. 5. The pixel subsets or sensor elements are coupled to appropriate electronic components 512, such as busses and interconnects, that make up the overall sensor or camera. The electronic components can also include processing elements for processing the signal from the detectors. Accordingly, in certain aspects, the present invention provides optical detection systems that reduce the attenuation of optical signals emanating from the reaction region and ultimately, that reach the detector. This permits detection and signal discrimination that is based upon a greater amount of emitted and detected signal. Analytical Instruments and systems Some aspects of the invention are analytical instruments for carrying out the methods and for use with the analytical devices described herein. For example, in some cases, the analytical devices of the invention are part of an analytical instrument or analytical system. The analytical device can be removably coupled into an instrument. Reagents are brought into contact with the analytical device before and/or after the analytical device is coupled with the instrument. The system or instrument can provide electrical signals and/or illumination light to the analytical device, and can receive electrical signals from the detectors in the analytical device. The instrument or system typically has computers to manipulate, store, and analyze the data from the device. For example, the instrument can have the capability of identifying and sequences of added nucleotide analogs for performing nucleic acid sequencing. The identification can be carried out, for example, as described in U.S. Pat. No. 8,182,993, and U.S. Published Patent Application Nos. 2010/0169026, and 2011/0183320 which are incorporated herein by references for all purposes. For example, the invention includes analytical instruments comprising any suitable analytical device describe herein, an illumination source for providing illumination light to the one or more waveguides, an electronic system for providing voltage and current to the detector, and for receiving signals from the detector; and a computer system for analyzing the signals from the detector to monitor the analytical reaction, for example to obtain sequence information about a template nucleic acid. Planar Lightwave Circuits (PLCs) In some aspects, the instant invention is used with optical delivery devices which are constructed with a plurality of integrated transmission waveguides to deliver optical energy from the optical input to the optical outputs. Such integrated devices are sometimes referred to as planar lightwave circuits (PLCs). PLCs are most typically found in telecommunications equipment, where they serve to couple and/or split optical signals from fiber optic cores, for the purpose of, for example, multiplexing/demultiplexing, optical branching, and/or optical switching. These more specific PLCs are also sometimes referred to as fiber spacing concentrators (FSCs). PLCs typically comprise multiple components that are directly fabricated into the integrated device, where the different components provide the desired functionality. For example, a PLC may comprise one or more waveguides, one or more splitters, one or more taps, one or more photodetectors, and one or more fibers, ideally polarization-maintaining fibers. The fibers and PLCs used in telecommunications applications typically transmit light in the infrared range, most commonly at wavelengths of about 1310 nm or about 1550 nm. In some cases, lower cost electronics such as light-emitting diodes (LEDs) and vertical-cavity surface-emitting lasers (VCSELs) may be used in devices comprising PLCs, such as FSCs. In contrast to the PLCs used to transmit optical telecommunication signals, however, the instant optical delivery devices are designed for the efficient transmission of shorter wavelengths of light. In particular, the optical illumination for fluorescent analysis, for example in DNA sequencing reactions with fluorescently-labeled DNA reagents, is typically in the visible range, most commonly in the range from about 450 nm to about 650 nm. The waveguides and other components of the optical delivery devices disclosed herein, and incorporated into the instant systems for DNA sequencing, are therefore preferably designed and scaled to transmit optical energy efficiently in the visible range. In some embodiments, the wavelengths range from about 450 nm to about 700 nm. In more specific embodiments, the wavelengths range from about 500 nm to 650 nm or even from about 500 nm to about 600 nm. In some specific embodiments, the wavelengths are from about 520 nm to about 540 nm, for example, approximately 532 nm. In other specific embodiments, the wavelengths are from about 620 nm to about 660 nm, for example, approximately 635 nm or 650 nm. In some embodiments, a combination of visible wavelengths may be transmitted within the devices. FIG. 6 illustrates a representative PLC for use in conjunction with the invention. FIG. 6 shows an representative embodiment having a device 600. Visible light from two lasers (601 and 602) is delivered to a PLC coupler chip by two optical fibers, where each fiber is directed into a 1x4 splitter (606 and 607) built into the device to provide 8 optical outputs. Input lasers are typically interfaced to the PLC using fiber pigtails or the like, and the optional inclusion of splitters within the PLC may simplify assembly of the systems and decrease their cost by limiting the number of fiber interconnections required. EXAMPLES Illumination and Measurement from Waveguides FIG. 7 shows a setup used for sending light into a waveguide and measuring the amount of light transmitted as well as the amount of reflected over time. The light can either be delivered from one side using one of the lasers or from both sides using both lasers. The alignment camera measures light sent through the waveguides and then coupled out of the chip. The chip has a zero mode waveguide (ZMW) region for carrying out fluorescent analyses such as single molecule real time sequencing as described herein. Time Dependent Back Reflection FIG. 8 shows a set of measurements that illustrate the time dependent back reflection. Light is sent into the waveguide at about 532 nm. The top curve, axis to the left shows reflected light over time. The lower curve, axis to the left shows transmitted light. It can be seen that over time there is a build up in back reflection that occurs at the expense of transmitted light. Use of Temperature to Reduce Back Reflection and Improve Transmission FIG. 9 shows how a change in temperature from 22 degree to 30 degrees resulted in the reduction of back reflection over time. This demonstrates erasure of the grating with a temperature change. The peak at 22° C. diminished in height. It did not move spectrally. A new peak was forming at 30° C. As seen in the bottom right, the decay is approximately exponential. Use of Wavelength Modulation to Reduce or Remove Backscatter FIG. 10 shows how a modulation in wavelength prevents the buildup of back reflection and can be used to avoid it. The upper curve (left axis) is the laser wavelength, adjusted over about 200 picometers. The lower curve (right axis) is the measurement of back reflection. In time period 1, the buildup of a back reflection is prevented over a time period of about 1 hour. In time period 2, the wavelength is held constant, at which time the characteristic back reflection over time develops. In time period 3, the modulation is re-instated, lowering the back reflection. The back reflection is now periodic. We see reflection where the wavelength of the laser is brought back into the range of the wavelength at which the back reflection built up. This provides strong evidence that it is a Bragg grating that is being formed over time. In time period 4, again the modulation is turned off, and the back reflection begins to rise again. In period 5, the range of wavelength modulation is changed such that the rang no longer includes wavelengths near where the back reflection build up occurred. This is even stronger evidence of the formation of a Bragg grating within the waveguide. FIG. 11 shows a close up of the wavelength modulation used with approximately 6 different wavelengths periodically addressed. FIG. 12 shows how the wavelength variation can hold down back reflection, thereby improving transmission over longer time periods. Here, there was 20 mW beam power, 300 WL cycles overnight and another 3 cycles in the morning. The variation/excursion of camera signals during laser toggling may due to the variation of input power. The bottom curve (axis on the right) is the measurement of back reflection. The top curve (right axis) shows the measurement of transmission using a camera above the chip. It can be seen that wavelength modulation for 10 hours prevented the rise of the backscatter. After 10 hours the wavelength modulation was removed resulting in the rise in the level of backscatter, and concomitant drop in transmission. Near the end of the run (between 15 and 16 hours) the wavelength modulation was re-introduced, resulting in a drop in reflection and rise in transmission.",G02F1011,G02F101,20160121,,20160728,60272.0 31,14997162,ACCEPTED,DNA POLYMERASES WITH INCREASED 3'-MISMATCH DISCRIMINATION,"Disclosed are mutant DNA polymerases having increased 3′-mismatch discrimination relative to a corresponding, unmodified polymerase. The mutant polymerases are useful in a variety of disclosed primer extension methods. Also disclosed are related compositions, including recombinant nucleic acids, vectors, and host cells, which are useful, e.g., for production of the mutant DNA polymerases.","1. A DNA polymerase having increased 3′-mismatch discrimination activity compared with a control DNA polymerase, wherein the amino acid of the DNA polymerase corresponding to position 589 of SEQ ID NO:1 is any amino acid other than R or K, and wherein the control DNA polymerase has the same amino acid sequence as the DNA polymerase except that the amino acid of the control DNA polymerase corresponding to position 589 of SEQ ID NO:1 is R or K. 2. The DNA polymerase of claim 1, wherein the amino acid of the DNA polymerase corresponding to position 589 of SEQ ID NO:1 is selected from G, A, L, M, W, P, S, T, F, Y, C, N, Q, D, E, V, I or H. 3. The DNA polymerase of claim 1, comprising a motif in the polymerase domain comprising P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G, wherein: X1 is I or L; X2 is I, V or T; X3 is any amino acid other than R or K; X4 is T, S or L; X5 is P or E; and X6 is L or E (SEQ ID NO:8). 4. The DNA polymerase of claim 3, wherein X3 is selected from G, A, L, M, W, P, S, T, F, Y, C, N, Q, D, E, V, I or H (SEQ ID NO:42). 5. The DNA polymerase of claim 1, comprising a motif in the polymerase domain comprising P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G, wherein: X1 is I or L; X2 is I, V or T; X3 is any amino acid other than R or K; X4 is T or S; X5 is P or E; and X6 is L or E (SEQ ID NO:9). 6. The DNA polymerase of claim 1, comprising a motif in the polymerase domain comprising P-N-L-Q-N-I-P-X2-X3-T-P-L-G, wherein: X2 is I or V; X3 is any amino acid other than R (SEQ ID NO:10). 7. The DNA polymerase of claim 6, wherein X3 is H, L or S (SEQ ID NO:11). 8. The DNA polymerase of claim 1, wherein the amino acid corresponding to position 580 of SEQ ID NO:1 is any amino acid other than D or E. 9. The DNA polymerase of claim 1, wherein the amino acid corresponding to position 580 of SEQ ID NO:1 is selected from the group consisting of L, G, T, Q, A, S, N, R, and K. 10. The DNA polymerase of claim 6, wherein the DNA polymerase comprises an amino acid sequence at least 80% sequence identical to SEQ ID NO:1, 2, 3, 4, 5, 6, 7, 36, 37, 38, 39, 40 or 41. 11. The DNA polymerase of claim 6, wherein the polymerase has at least 80% sequence identity to SEQ ID NO:1. 12. The DNA polymerase of claim 11, wherein the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is selected from the group consisting of L, G, T, Q, A, S, N, R, and K. 13. A recombinant nucleic acid encoding the DNA polymerase according to claim 1. 14. A method for conducting primer extension, comprising: contacting a DNA polymerase according to claim 1 with a primer, a polynucleotide template, and nucleoside triphosphates under conditions suitable for extension of the primer, thereby producing an extended primer. 15. The method of claim 14, wherein the primer extension method is a method for conducting polymerase chain reaction (PCR). 16. A kit for producing an extended primer, comprising: at least one container providing a DNA polymerase according to claim 1. 17. The kit according to claim 16, further comprising one or more additional containers selected from the group consisting of: (a) a container providing a primer hybridizable, under primer extension conditions, to a predetermined polynucleotide template; (b) a container providing nucleoside triphosphates; and (c) a container providing a buffer suitable for primer extension. 18. A reaction mixture comprising a DNA polymerase according to claim 1, at least one primer, a polynucleotide template, and nucleoside triphosphates."," BACKGROUND OF THE INVENTION DNA polymerases are responsible for the replication and maintenance of the genome, a role that is central to accurately transmitting genetic information from generation to generation. DNA polymerases function in cells as the enzymes responsible for the synthesis of DNA. They polymerize deoxyribonucleoside triphosphates in the presence of a metal activator, such as Mg 2+ , in an order dictated by the DNA template or polynucleotide template that is copied. In vivo, DNA polymerases participate in a spectrum of DNA synthetic processes including DNA replication, DNA repair, recombination, and gene amplification. During each DNA synthetic process, the DNA template is copied once or at most a few times to produce identical replicas. In contrast, in vitro, DNA replication can be repeated many times such as, for example, during polymerase chain reaction (see, e.g., U.S. Pat. No. 4,683,202). In the initial studies with polymerase chain reaction (PCR), the DNA polymerase was added at the start of each round of DNA replication (see U.S. Pat. No. 4,683,202, supra). Subsequently, it was determined that thermostable DNA polymerases could be obtained from bacteria that grow at elevated temperatures, and that these enzymes need to be added only once (see U.S. Pat. No. 4,889,818 to Gelfand and U.S. Pat. No. 4,965,188 to Mullis). At the elevated temperatures used during PCR, these enzymes are not irreversibly inactivated. As a result, one can carry out repetitive cycles of polymerase chain reactions without adding fresh enzymes at the start of each synthetic addition process. DNA polymerases, particularly thermostable polymerases, are the key to a large number of techniques in recombinant DNA studies and in medical diagnosis of disease. For diagnostic applications in particular, a target nucleic acid sequence may be only a small portion of the DNA or RNA in question, so it may be difficult to detect the presence of a target nucleic acid sequence without amplification. The overall folding pattern of DNA polymerases resembles the human right hand and contains three distinct subdomains of palm, fingers, and thumb. (See Beese et al., Science 260:352-355, 1993); Patel et al., Biochemistry 34:5351-5363, 1995). While the structure of the fingers and thumb subdomains vary greatly between polymerases that differ in size and in cellular functions, the catalytic palm subdomains are all superimposable. For example, motif A, which interacts with the incoming dNTP and stabilizes the transition state during chemical catalysis, is superimposable with a mean deviation of about one Å amongst mammalian pol α and prokaryotic pol I family DNA polymerases (Wang et al., Cell 89:1087-1099, 1997). Motif A begins structurally at an antiparallel β-strand containing predominantly hydrophobic residues and continues to an α-helix. The primary amino acid sequence of DNA polymerase active sites is exceptionally conserved. In the case of motif A, for example, the sequence DYSQIELR (SEQ ID NO:28) is retained in polymerases from organisms separated by many millions years of evolution, including, e.g., Thermus aquaticus, Chlamydia trachomatis , and Escherichia coli. In addition to being well-conserved, the active site of DNA polymerases has also been shown to be relatively mutable, capable of accommodating certain amino acid substitutions without reducing DNA polymerase activity significantly. (See, e.g., U.S. Pat. No. 6,602,695) Such mutant DNA polymerases can offer various selective advantages in, e.g., diagnostic and research applications comprising nucleic acid synthesis reactions. Thus, there is a need in the art for identification of amino acid positions amenable to mutation to yield improved polymerase activities. The present invention, as set forth herein, meets these and other needs."," BRIEF SUMMARY OF THE INVENTION Provided herein are DNA polymerases having increased 3′-mismatch discrimination relative to a corresponding, unmodified control polymerase, and methods of making and using such DNA polymerases. In some embodiments, the polymerase is a thermostable DNA polymerase. In some embodiments, the DNA polymerase is a thermoactive DNA polymerase. In some embodiments, the DNA polymerase is derived from a Thermus species. In some embodiments, the DNA polymerase is derived from a Thermotoga species. In some embodiments, the amino acid of the DNA polymerase corresponding to position 589 of SEQ ID NO:1 is any amino acid other than R or K, and the control DNA polymerase has the same amino acid sequence as the DNA polymerase except that the amino acid of the control DNA polymerase corresponding to position 589 of SEQ ID NO:1 is R or K. For example, in some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is selected from G, A, V, L, I, M, F, W, P, S, T, C, Y, N, Q, D, E or H. In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is an amino acid having a polar, uncharged side-chain (e.g., N, Q, H, S, T, or Y). In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is an amino acid having a nonpolar, uncharged side-chain (e.g., G, A, L, M, W, P, F, C, V, or I). In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is selected from H, L, or S. In some embodiments, the DNA polymerase having increased 3′-mismatch discrimination comprises a motif in the polymerase domain comprising in-line-formulae description=""In-line Formulae"" end=""lead""? P-N-L-Q-N-X 1 -P-X 2 -X 3 -X 4 -X 5 -X 6 -G, in-line-formulae description=""In-line Formulae"" end=""tail""? wherein: X 1 is I or L; X 2 is I, V or T; X 3 is any amino acid other than R or K; X 4 is T, S or L; X 5 is P or E; and X 6 is L or E (SEQ ID NO:8). In some embodiments, the DNA polymerase having increased 3′-mismatch discrimination comprises a motif in the polymerase domain comprising in-line-formulae description=""In-line Formulae"" end=""lead""? P-N-L-Q-N-X 1 -P-X 2 -X 3 -X 4 -X 5 -X 6 -G, in-line-formulae description=""In-line Formulae"" end=""tail""? wherein: X 1 is I or L; X 2 is I, V or T; X 3 is any amino acid other than R or K; X 4 is T or S; X 5 is P or E; and X 6 is L or E (SEQ ID NO:9). In some embodiments, the DNA polymerase having increased 3′-mismatch discrimination comprises a motif in the polymerase domain comprising in-line-formulae description=""In-line Formulae"" end=""lead""? P-N-L-Q-N-I-P-X 2 -X 3 -T-P-L-G, in-line-formulae description=""In-line Formulae"" end=""tail""? wherein: X 2 is I or V; and X 3 is any amino acid other than R (SEQ ID NO:10). In some embodiments, X 3 is an amino acid having a polar, uncharged side-chain (e.g., N, Q, H, S, T, or Y) or nonpolar, uncharged side-chain (i.e., G, A, L, M, W, P, F, C, V, or I). In some embodiments, X 3 is H, L or S (SEQ ID NO:11). In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is any amino acid other than D or E. In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is any amino acid other than D. In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is selected from the group consisting of L, G, T, Q, A, S, N, R and K. In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is G. Various DNA polymerases are amenable to mutation according to the present invention. Particularly suitable are thermostable polymerases, including wild-type or naturally occurring thermostable polymerases from various species of thermophilic bacteria, as well as synthetic thermostable polymerases derived from such wild-type or naturally occurring enzymes by amino acid substitution, insertion, or deletion, or other modification. Exemplary unmodified forms of polymerase include, e.g., CS5 (SEQ ID NO:29), CS6 (SEQ ID NO:30) or Z05 DNA polymerase (SEQ ID NO:1), or a functional DNA polymerase having at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 87%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98% or 99% sequence identity thereto. Other unmodified polymerases include, e.g., DNA polymerases from any of the following species of thermophilic bacteria (or a functional DNA polymerase having at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 87%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98% or 99% sequence identity to such a polymerase): Thermotoga maritima (SEQ ID NO:38); Thermus aquaticus (SEQ ID NO:2); Thermus thermophilus (SEQ ID NO:6); Thermus flavus (SEQ ID NO:4); Thermus filiformis (SEQ ID NO:3); Thermus sp. Sps17 (SEQ ID NO:5); Thermus sp. Z05 (SEQ ID NO:1); Thermotoga neopolitana (SEQ ID NO:39); Thermosipho africanus (SEQ ID NO:37); Thermus caldophilus (SEQ ID NO:7), Deinococcus radiodurans (SEQ ID NO:36), Bacillus stearothermophilus (SEQ ID NO:40) or Bacillus caldotenax (SEQ ID NO:41). Suitable polymerases also include those having reverse transcriptase (RT) activity and/or the ability to incorporate unconventional nucleotides, such as ribonucleotides or other 2′-modified nucleotides. While thermostable DNA polymerases possessing efficient 3′-mismatch discrimination activity are particularly suited for performing PCR, thermoactive, but not thermostable DNA polymerases possessing efficient 3′-mismatch discrimination activity also are amenable to mutation according to the present invention. In some embodiments, the DNA polymerase is a Thermus DNA polymerase. For example, in some embodiments, the DNA polymerase has at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 87%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% sequence identity to a polymerase selected from the group consisting of: (a) a Thermus sp. Z05 DNA polymerase (Z05) (SEQ ID NO:1); (b) a Thermus aquaticus DNA polymerase (Taq) (SEQ ID NO:2); (c) a Thermus filiformis DNA polymerase (Tfi) (SEQ ID NO:3); (d) a Thermus flavus DNA polymerase (Tfl) (SEQ ID NO:4); (e) a Thermus sp. Sps17 DNA polymerase (Sps17) (SEQ ID NO:5); (f) a Thermus thermophilus DNA polymerase (Tth) (SEQ ID NO:6); and (g) a Thermus caldophilus DNA polymerase (Tca) (SEQ ID NO:7). In some embodiments, the DNA polymerase is a Thermotoga DNA polymerase. For example, in some embodiments, the DNA polymerase has at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 8′7%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% sequence identity to a polymerase selected from the group consisting of: (a) a Thermotoga maritima DNA polymerase (Tma) (SEQ ID NO:38); (b) a Thermotoga neopolitana DNA polymerase (Tne) (SEQ ID NO:39); In some embodiments, the DNA polymerase has at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 8′7%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% sequence identity to SEQ ID NO:1. In some embodiments, the DNA polymerase is a Thermus sp. Z05 DNA polymerase (Z05) DNA polymerase (i.e., SEQ ID NO:1), except that the amino acid at position 589 is any amino acid other than R. For example, in some embodiments, the amino acid at position 589 is selected from G, A, V, L, I, M, F, W, P, S, T, C, Y, N, Q, D, E, K, or H. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 589 is any amino acid other than R or K. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 589 is H, L, or S. In some embodiments, the DNA polymerase is a Z05 DNA polymerase further comprising a substitution at position 580, and the amino acid at position 580 is any amino acid other than D or E. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is any amino acid other than D. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is selected from the group consisting of L, G, T, Q, A, S, N, R and K. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is G. The mutant or improved polymerase can include other, non-substitutional modifications. One such modification is a thermally reversible covalent modification that inactivates the enzyme, but which is reversed to activate the enzyme upon incubation at an elevated temperature, such as a temperature typically used for polynucleotide extension. Exemplary reagents for such thermally reversible modifications are described in U.S. Pat. Nos. 5,773,258 and 5,677,152 to Birch et al., which are expressly incorporated by reference herein in their entirety. In some embodiments, the 3′-mismatch activity is determined using a mutant BRAF V600R target polynucleotide having the nucleic acid sequence of SEQ ID NO:35 (wild type BRAF=SEQ ID NO:34) in the presence of a forward primer that is perfectly matched to the mutant sequence and has a single 3′ A:C mismatch to the wild type sequence in one or more reaction mixtures having a predetermined number of copies of wild-type BRAF V600 target polynucleotide and a predetermined number of copies of mutant BRAF V600R target polynucleotide equal in number or fewer than the number of copies of wild-type target (e.g., 10,000 or fewer copies). Two or more reaction mixtures can have titrated numbers of copies of mutant BRAF V600R target polynucleotide (e.g., 1:5 titrations, 1:10 titrations, e.g., 10,000 copies, 1000 copies, 100 copies, 10 copies, 1 copy, 0 copies in several reaction mixtures). The 3′-mismatch discrimination ability of a polymerase of the invention can be compared to the 3′-mismatch discrimination ability of a reference polymerase (e.g., a naturally occurring or unmodified polymerase), over a preselected unit of time, as described herein. Polymerases with increased 3′-mismatch discrimination ability will not amplify the wild-type sequence when contacted with a primer that is perfectly matched to a mutant allele, or will require a greater number of PCR cycles to amplify the wild-type sequence using the mutant allele-specific primer (i.e., exhibit a higher Cp value), in comparison to a naturally occurring or unmodified polymerase. In various other aspects, the present invention provides a recombinant nucleic acid encoding a mutant or improved DNA polymerase as described herein, a vector comprising the recombinant nucleic acid, and/or a host cell transformed with the vector. In certain embodiments, the vector is an expression vector. Host cells comprising such expression vectors are useful in methods of the invention for producing the mutant or improved polymerase by culturing the host cells under conditions suitable for expression of the recombinant nucleic acid. The polymerases of the invention may be contained in reaction mixtures and/or kits. The embodiments of the recombinant nucleic acids, host cells, vectors, expression vectors, reaction mixtures and kits are as described above and herein. In yet another aspect, a method for conducting polynucleotide extension is provided. The method generally includes contacting a DNA polymerase having increased 3′-mismatch discrimination as described herein with a primer, a polynucleotide template, and nucleoside triphosphates under conditions suitable for extension of the primer, thereby producing an extended primer. The polynucleotide template can be, for example, an RNA or DNA template. The nucleoside triphosphates can include unconventional nucleotides such as, e.g., ribonucleotides and/or labeled nucleotides. Further, the primer and/or template can include one or more nucleotide analogs. In some variations, the polynucleotide extension method is a method for polynucleotide amplification that includes contacting the mutant or improved DNA polymerase with a primer pair, the polynucleotide template, and the nucleoside triphosphates under conditions suitable for amplification of the polynucleotide. The polynucleotide extension reaction can be, e.g., PCR, isothermal extension, or sequencing (e.g., 454 sequencing reaction). The present invention also provides a kit useful in such a polynucleotide extension method. Generally, the kit includes at least one container providing a mutant or improved DNA polymerase as described herein. In certain embodiments, the kit further includes one or more additional containers providing one or more additional reagents. For example, in specific variations, the one or more additional containers provide nucleoside triphosphates; a buffer suitable for polynucleotide extension; and/or a primer hybridizable, under polynucleotide extension conditions, to a predetermined polynucleotide template. Further provided are reaction mixtures comprising the polymerases of the invention. The reactions mixtures can also contain a template nucleic acid (DNA and/or RNA), one or more primer or probe polynucleotides, nucleoside triphosphates (including, e.g., deoxyribonucleotides, ribonucleotides, labeled nucleotides, unconventional nucleotides), buffers, salts, labels (e.g., fluorophores). Further embodiments of the invention are described herein.","CROSS-REFERENCE TO RELATED PATENT APPLICATIONS The present application is a continuation of U.S. patent application Ser. No. 14/229,631, filed Mar. 28, 2014, which is a divisional of U.S. patent application Ser. No. 13/162,688, filed Jun. 17, 2011, now U.S. Pat. No. 8,722,380, which claims benefit of priority to U.S. Provisional Patent Application No. 61/356,287, filed Jun. 18, 2010, each of which is incorporated by reference herein in its entirety. REFERENCE TO A “SEQUENCE LISTING,” A TABLE, OR A COMPUTER PROGRAM LISTING APPENDIX SUBMITTED AS AN ASCII TEXT FILE The Sequence Listing written in file-129-1-2.txt, created on Jan. 12, 2016, 122,880 bytes, machine format IBM-PC, MS-Windows operating system, is hereby incorporated by reference in its entirety for all purposes. FIELD OF THE INVENTION The present invention provides DNA polymerases with increased 3′-mismatch discrimination and their use in various applications, including nucleic acid polynucleotide extension and amplification. BACKGROUND OF THE INVENTION DNA polymerases are responsible for the replication and maintenance of the genome, a role that is central to accurately transmitting genetic information from generation to generation. DNA polymerases function in cells as the enzymes responsible for the synthesis of DNA. They polymerize deoxyribonucleoside triphosphates in the presence of a metal activator, such as Mg2+, in an order dictated by the DNA template or polynucleotide template that is copied. In vivo, DNA polymerases participate in a spectrum of DNA synthetic processes including DNA replication, DNA repair, recombination, and gene amplification. During each DNA synthetic process, the DNA template is copied once or at most a few times to produce identical replicas. In contrast, in vitro, DNA replication can be repeated many times such as, for example, during polymerase chain reaction (see, e.g., U.S. Pat. No. 4,683,202). In the initial studies with polymerase chain reaction (PCR), the DNA polymerase was added at the start of each round of DNA replication (see U.S. Pat. No. 4,683,202, supra). Subsequently, it was determined that thermostable DNA polymerases could be obtained from bacteria that grow at elevated temperatures, and that these enzymes need to be added only once (see U.S. Pat. No. 4,889,818 to Gelfand and U.S. Pat. No. 4,965,188 to Mullis). At the elevated temperatures used during PCR, these enzymes are not irreversibly inactivated. As a result, one can carry out repetitive cycles of polymerase chain reactions without adding fresh enzymes at the start of each synthetic addition process. DNA polymerases, particularly thermostable polymerases, are the key to a large number of techniques in recombinant DNA studies and in medical diagnosis of disease. For diagnostic applications in particular, a target nucleic acid sequence may be only a small portion of the DNA or RNA in question, so it may be difficult to detect the presence of a target nucleic acid sequence without amplification. The overall folding pattern of DNA polymerases resembles the human right hand and contains three distinct subdomains of palm, fingers, and thumb. (See Beese et al., Science 260:352-355, 1993); Patel et al., Biochemistry 34:5351-5363, 1995). While the structure of the fingers and thumb subdomains vary greatly between polymerases that differ in size and in cellular functions, the catalytic palm subdomains are all superimposable. For example, motif A, which interacts with the incoming dNTP and stabilizes the transition state during chemical catalysis, is superimposable with a mean deviation of about one Å amongst mammalian pol α and prokaryotic pol I family DNA polymerases (Wang et al., Cell 89:1087-1099, 1997). Motif A begins structurally at an antiparallel β-strand containing predominantly hydrophobic residues and continues to an α-helix. The primary amino acid sequence of DNA polymerase active sites is exceptionally conserved. In the case of motif A, for example, the sequence DYSQIELR (SEQ ID NO:28) is retained in polymerases from organisms separated by many millions years of evolution, including, e.g., Thermus aquaticus, Chlamydia trachomatis, and Escherichia coli. In addition to being well-conserved, the active site of DNA polymerases has also been shown to be relatively mutable, capable of accommodating certain amino acid substitutions without reducing DNA polymerase activity significantly. (See, e.g., U.S. Pat. No. 6,602,695) Such mutant DNA polymerases can offer various selective advantages in, e.g., diagnostic and research applications comprising nucleic acid synthesis reactions. Thus, there is a need in the art for identification of amino acid positions amenable to mutation to yield improved polymerase activities. The present invention, as set forth herein, meets these and other needs. BRIEF SUMMARY OF THE INVENTION Provided herein are DNA polymerases having increased 3′-mismatch discrimination relative to a corresponding, unmodified control polymerase, and methods of making and using such DNA polymerases. In some embodiments, the polymerase is a thermostable DNA polymerase. In some embodiments, the DNA polymerase is a thermoactive DNA polymerase. In some embodiments, the DNA polymerase is derived from a Thermus species. In some embodiments, the DNA polymerase is derived from a Thermotoga species. In some embodiments, the amino acid of the DNA polymerase corresponding to position 589 of SEQ ID NO:1 is any amino acid other than R or K, and the control DNA polymerase has the same amino acid sequence as the DNA polymerase except that the amino acid of the control DNA polymerase corresponding to position 589 of SEQ ID NO:1 is R or K. For example, in some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is selected from G, A, V, L, I, M, F, W, P, S, T, C, Y, N, Q, D, E or H. In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is an amino acid having a polar, uncharged side-chain (e.g., N, Q, H, S, T, or Y). In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is an amino acid having a nonpolar, uncharged side-chain (e.g., G, A, L, M, W, P, F, C, V, or I). In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is selected from H, L, or S. In some embodiments, the DNA polymerase having increased 3′-mismatch discrimination comprises a motif in the polymerase domain comprising P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G, wherein: X1 is I or L; X2 is I, V or T; X3 is any amino acid other than R or K; X4 is T, S or L; X5 is P or E; and X6 is L or E (SEQ ID NO:8). In some embodiments, the DNA polymerase having increased 3′-mismatch discrimination comprises a motif in the polymerase domain comprising P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G, wherein: X1 is I or L; X2 is I, V or T; X3 is any amino acid other than R or K; X4 is T or S; X5 is P or E; and X6 is L or E (SEQ ID NO:9). In some embodiments, the DNA polymerase having increased 3′-mismatch discrimination comprises a motif in the polymerase domain comprising P-N-L-Q-N-I-P-X2-X3-T-P-L-G, wherein: X2 is I or V; and X3 is any amino acid other than R (SEQ ID NO:10). In some embodiments, X3 is an amino acid having a polar, uncharged side-chain (e.g., N, Q, H, S, T, or Y) or nonpolar, uncharged side-chain (i.e., G, A, L, M, W, P, F, C, V, or I). In some embodiments, X3 is H, L or S (SEQ ID NO:11). In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is any amino acid other than D or E. In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is any amino acid other than D. In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is selected from the group consisting of L, G, T, Q, A, S, N, R and K. In some embodiments, the amino acid of the DNA polymerase corresponding to position 580 of SEQ ID NO:1 is G. Various DNA polymerases are amenable to mutation according to the present invention. Particularly suitable are thermostable polymerases, including wild-type or naturally occurring thermostable polymerases from various species of thermophilic bacteria, as well as synthetic thermostable polymerases derived from such wild-type or naturally occurring enzymes by amino acid substitution, insertion, or deletion, or other modification. Exemplary unmodified forms of polymerase include, e.g., CS5 (SEQ ID NO:29), CS6 (SEQ ID NO:30) or Z05 DNA polymerase (SEQ ID NO:1), or a functional DNA polymerase having at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 87%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98% or 99% sequence identity thereto. Other unmodified polymerases include, e.g., DNA polymerases from any of the following species of thermophilic bacteria (or a functional DNA polymerase having at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 87%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98% or 99% sequence identity to such a polymerase): Thermotoga maritima (SEQ ID NO:38); Thermus aquaticus (SEQ ID NO:2); Thermus thermophilus (SEQ ID NO:6); Thermus flavus (SEQ ID NO:4); Thermus filiformis (SEQ ID NO:3); Thermus sp. Sps17 (SEQ ID NO:5); Thermus sp. Z05 (SEQ ID NO:1); Thermotoga neopolitana (SEQ ID NO:39); Thermosipho africanus (SEQ ID NO:37); Thermus caldophilus (SEQ ID NO:7), Deinococcus radiodurans (SEQ ID NO:36), Bacillus stearothermophilus (SEQ ID NO:40) or Bacillus caldotenax (SEQ ID NO:41). Suitable polymerases also include those having reverse transcriptase (RT) activity and/or the ability to incorporate unconventional nucleotides, such as ribonucleotides or other 2′-modified nucleotides. While thermostable DNA polymerases possessing efficient 3′-mismatch discrimination activity are particularly suited for performing PCR, thermoactive, but not thermostable DNA polymerases possessing efficient 3′-mismatch discrimination activity also are amenable to mutation according to the present invention. In some embodiments, the DNA polymerase is a Thermus DNA polymerase. For example, in some embodiments, the DNA polymerase has at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 87%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% sequence identity to a polymerase selected from the group consisting of: (a) a Thermus sp. Z05 DNA polymerase (Z05) (SEQ ID NO:1); (b) a Thermus aquaticus DNA polymerase (Taq) (SEQ ID NO:2); (c) a Thermus filiformis DNA polymerase (Tfi) (SEQ ID NO:3); (d) a Thermus flavus DNA polymerase (Tfl) (SEQ ID NO:4); (e) a Thermus sp. Sps17 DNA polymerase (Sps17) (SEQ ID NO:5); (f) a Thermus thermophilus DNA polymerase (Tth) (SEQ ID NO:6); and (g) a Thermus caldophilus DNA polymerase (Tca) (SEQ ID NO:7). In some embodiments, the DNA polymerase is a Thermotoga DNA polymerase. For example, in some embodiments, the DNA polymerase has at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 8′7%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% sequence identity to a polymerase selected from the group consisting of: (a) a Thermotoga maritima DNA polymerase (Tma) (SEQ ID NO:38); (b) a Thermotoga neopolitana DNA polymerase (Tne) (SEQ ID NO:39); In some embodiments, the DNA polymerase has at least 80%, 81%, 82%, 83%, 84%, 85%, 86%, 8′7%, 88%, 89%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% sequence identity to SEQ ID NO:1. In some embodiments, the DNA polymerase is a Thermus sp. Z05 DNA polymerase (Z05) DNA polymerase (i.e., SEQ ID NO:1), except that the amino acid at position 589 is any amino acid other than R. For example, in some embodiments, the amino acid at position 589 is selected from G, A, V, L, I, M, F, W, P, S, T, C, Y, N, Q, D, E, K, or H. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 589 is any amino acid other than R or K. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 589 is H, L, or S. In some embodiments, the DNA polymerase is a Z05 DNA polymerase further comprising a substitution at position 580, and the amino acid at position 580 is any amino acid other than D or E. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is any amino acid other than D. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is selected from the group consisting of L, G, T, Q, A, S, N, R and K. In some embodiments, the DNA polymerase is a Z05 DNA polymerase, and the amino acid at position 580 is G. The mutant or improved polymerase can include other, non-substitutional modifications. One such modification is a thermally reversible covalent modification that inactivates the enzyme, but which is reversed to activate the enzyme upon incubation at an elevated temperature, such as a temperature typically used for polynucleotide extension. Exemplary reagents for such thermally reversible modifications are described in U.S. Pat. Nos. 5,773,258 and 5,677,152 to Birch et al., which are expressly incorporated by reference herein in their entirety. In some embodiments, the 3′-mismatch activity is determined using a mutant BRAF V600R target polynucleotide having the nucleic acid sequence of SEQ ID NO:35 (wild type BRAF=SEQ ID NO:34) in the presence of a forward primer that is perfectly matched to the mutant sequence and has a single 3′ A:C mismatch to the wild type sequence in one or more reaction mixtures having a predetermined number of copies of wild-type BRAF V600 target polynucleotide and a predetermined number of copies of mutant BRAF V600R target polynucleotide equal in number or fewer than the number of copies of wild-type target (e.g., 10,000 or fewer copies). Two or more reaction mixtures can have titrated numbers of copies of mutant BRAF V600R target polynucleotide (e.g., 1:5 titrations, 1:10 titrations, e.g., 10,000 copies, 1000 copies, 100 copies, 10 copies, 1 copy, 0 copies in several reaction mixtures). The 3′-mismatch discrimination ability of a polymerase of the invention can be compared to the 3′-mismatch discrimination ability of a reference polymerase (e.g., a naturally occurring or unmodified polymerase), over a preselected unit of time, as described herein. Polymerases with increased 3′-mismatch discrimination ability will not amplify the wild-type sequence when contacted with a primer that is perfectly matched to a mutant allele, or will require a greater number of PCR cycles to amplify the wild-type sequence using the mutant allele-specific primer (i.e., exhibit a higher Cp value), in comparison to a naturally occurring or unmodified polymerase. In various other aspects, the present invention provides a recombinant nucleic acid encoding a mutant or improved DNA polymerase as described herein, a vector comprising the recombinant nucleic acid, and/or a host cell transformed with the vector. In certain embodiments, the vector is an expression vector. Host cells comprising such expression vectors are useful in methods of the invention for producing the mutant or improved polymerase by culturing the host cells under conditions suitable for expression of the recombinant nucleic acid. The polymerases of the invention may be contained in reaction mixtures and/or kits. The embodiments of the recombinant nucleic acids, host cells, vectors, expression vectors, reaction mixtures and kits are as described above and herein. In yet another aspect, a method for conducting polynucleotide extension is provided. The method generally includes contacting a DNA polymerase having increased 3′-mismatch discrimination as described herein with a primer, a polynucleotide template, and nucleoside triphosphates under conditions suitable for extension of the primer, thereby producing an extended primer. The polynucleotide template can be, for example, an RNA or DNA template. The nucleoside triphosphates can include unconventional nucleotides such as, e.g., ribonucleotides and/or labeled nucleotides. Further, the primer and/or template can include one or more nucleotide analogs. In some variations, the polynucleotide extension method is a method for polynucleotide amplification that includes contacting the mutant or improved DNA polymerase with a primer pair, the polynucleotide template, and the nucleoside triphosphates under conditions suitable for amplification of the polynucleotide. The polynucleotide extension reaction can be, e.g., PCR, isothermal extension, or sequencing (e.g., 454 sequencing reaction). The present invention also provides a kit useful in such a polynucleotide extension method. Generally, the kit includes at least one container providing a mutant or improved DNA polymerase as described herein. In certain embodiments, the kit further includes one or more additional containers providing one or more additional reagents. For example, in specific variations, the one or more additional containers provide nucleoside triphosphates; a buffer suitable for polynucleotide extension; and/or a primer hybridizable, under polynucleotide extension conditions, to a predetermined polynucleotide template. Further provided are reaction mixtures comprising the polymerases of the invention. The reactions mixtures can also contain a template nucleic acid (DNA and/or RNA), one or more primer or probe polynucleotides, nucleoside triphosphates (including, e.g., deoxyribonucleotides, ribonucleotides, labeled nucleotides, unconventional nucleotides), buffers, salts, labels (e.g., fluorophores). Further embodiments of the invention are described herein. DEFINITIONS Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention pertains. Although essentially any methods and materials similar to those described herein can be used in the practice or testing of the present invention, only exemplary methods and materials are described. For purposes of the present invention, the following terms are defined below. The terms “a,” “an,” and “the” include plural referents, unless the context clearly indicates otherwise. An “amino acid” refers to any monomer unit that can be incorporated into a peptide, polypeptide, or protein. As used herein, the term “amino acid” includes the following twenty natural or genetically encoded alpha-amino acids: alanine (Ala or A), arginine (Arg or R), asparagine (Asn or N), aspartic acid (Asp or D), cysteine (Cys or C), glutamine (Gln or Q), glutamic acid (Glu or E), glycine (Gly or G), histidine (His or H), isoleucine (Ile or I), leucine (Leu or L), lysine (Lys or K), methionine (Met or M), phenylalanine (Phe or F), proline (Pro or P), serine (Ser or S), threonine (Thr or T), tryptophan (Trp or W), tyrosine (Tyr or Y), and valine (Val or V). In cases where “X” residues are undefined, these should be defined as “any amino acid.” The structures of these twenty natural amino acids are shown in, e.g., Stryer et al., Biochemistry, 5th ed., Freeman and Company (2002), which is incorporated by reference. Additional amino acids, such as selenocysteine and pyrrolysine, can also be genetically coded for (Stadtman (1996) “Selenocysteine,” Annu Rev Biochem. 65:83-100 and Ibba et al. (2002) “Genetic code: introducing pyrrolysine,” Curr Biol. 12(13):R464-R466, which are both incorporated by reference). The term “amino acid” also includes unnatural amino acids, modified amino acids (e.g., having modified side chains and/or backbones), and amino acid analogs. See, e.g., Zhang et al. (2004) “Selective incorporation of 5-hydroxytryptophan into proteins in mammalian cells,” Proc. Natl. Acad. Sci. U.S.A. 101(24):8882-8887, Anderson et al. (2004) “An expanded genetic code with a functional quadruplet codon” Proc. Natl. Acad. Sci. U.S.A. 101(20):7566-7571, Ikeda et al. (2003) “Synthesis of a novel histidine analogue and its efficient incorporation into a protein in vivo,” Protein Eng. Des. Sel. 16(9):699-706, Chin et al. (2003) “An Expanded Eukaryotic Genetic Code,” Science 301(5635):964-967, James et al. (2001) “Kinetic characterization of ribonuclease S mutants containing photoisomerizable phenylazophenylalanine residues,” Protein Eng. Des. Sel. 14(12):983-991, Kohrer et al. (2001) “Import of amber and ochre suppressor tRNAs into mammalian cells: A general approach to site-specific insertion of amino acid analogues into proteins,” Proc. Natl. Acad. Sci. U.S.A. 98(25):14310-14315, Bacher et al. (2001) “Selection and Characterization of Escherichia coli Variants Capable of Growth on an Otherwise Toxic Tryptophan Analogue,” J. Bacteriol. 183(18):5414-5425, Hamano-Takaku et al. (2000) “A Mutant Escherichia coli Tyrosyl-tRNA Synthetase Utilizes the Unnatural Amino Acid Azatyrosine More Efficiently than Tyrosine,” J. Biol. Chem. 275(51):40324-40328, and Budisa et al. (2001) “Proteins with {beta}-(thienopyrrolyl)alanines as alternative chromophores and pharmaceutically active amino acids,” Protein Sci. 10(7):1281-1292, which are each incorporated by reference. To further illustrate, an amino acid is typically an organic acid that includes a substituted or unsubstituted amino group, a substituted or unsubstituted carboxy group, and one or more side chains or groups, or analogs of any of these groups. Exemplary side chains include, e.g., thiol, seleno, sulfonyl, alkyl, aryl, acyl, keto, azido, hydroxyl, hydrazine, cyano, halo, hydrazide, alkenyl, alkynl, ether, borate, boronate, phospho, phosphono, phosphine, heterocyclic, enone, imine, aldehyde, ester, thioacid, hydroxylamine, or any combination of these groups. Other representative amino acids include, but are not limited to, amino acids comprising photoactivatable cross-linkers, metal binding amino acids, spin-labeled amino acids, fluorescent amino acids, metal-containing amino acids, amino acids with novel functional groups, amino acids that covalently or noncovalently interact with other molecules, photocaged and/or photoisomerizable amino acids, radioactive amino acids, amino acids comprising biotin or a biotin analog, glycosylated amino acids, other carbohydrate modified amino acids, amino acids comprising polyethylene glycol or polyether, heavy atom substituted amino acids, chemically cleavable and/or photocleavable amino acids, carbon-linked sugar-containing amino acids, redox-active amino acids, amino thioacid containing amino acids, and amino acids comprising one or more toxic moieties. The term “aptamer” refers to a single-stranded DNA that recognizes and binds to DNA polymerase, and efficiently inhibits the polymerase activity as described in U.S. Pat. No. 5,693,502, hereby expressly incorporated by reference herein in its entirety. The term “mutant,” in the context of DNA polymerases of the present invention, means a polypeptide, typically recombinant, that comprises one or more amino acid substitutions relative to a corresponding, naturally-occurring or unmodified DNA polymerase. The term “unmodified form,” in the context of a mutant polymerase, is a term used herein for purposes of defining a mutant DNA polymerase of the present invention: the term “unmodified form” refers to a functional DNA polymerase that has the amino acid sequence of the mutant polymerase except at one or more amino acid position(s) specified as characterizing the mutant polymerase. Thus, reference to a mutant DNA polymerase in terms of (a) its unmodified form and (b) one or more specified amino acid substitutions means that, with the exception of the specified amino acid substitution(s), the mutant polymerase otherwise has an amino acid sequence identical to the unmodified form in the specified motif. The “unmodified polymerase” (and therefore also the modified form having increased 3′-mismatch discrimination) may contain additional mutations to provide desired functionality, e.g., improved incorporation of dideoxyribonucleotides, ribonucleotides, ribonucleotide analogs, dye-labeled nucleotides, modulating 5′-nuclease activity, modulating 3′-nuclease (or proofreading) activity, or the like. Accordingly, in carrying out the present invention as described herein, the unmodified form of a DNA polymerase is predetermined. The unmodified form of a DNA polymerase can be, for example, a wild-type and/or a naturally occurring DNA polymerase, or a DNA polymerase that has already been intentionally modified. An unmodified form of the polymerase is preferably a thermostable DNA polymerases, such as DNA polymerases from various thermophilic bacteria, as well as functional variants thereof having substantial sequence identity to a wild-type or naturally occurring thermostable polymerase. Such variants can include, for example, chimeric DNA polymerases such as, for example, the chimeric DNA polymerases described in U.S. Pat. No. 6,228,628 and U.S. Application Publication No. 2004/0005599, which are incorporated by reference herein in their entirety. In certain embodiments, the unmodified form of a polymerase has reverse transcriptase (RT) activity. The term “thermostable polymerase,” refers to an enzyme that is stable to heat, is heat resistant, and retains sufficient activity to effect subsequent polynucleotide extension reactions and does not become irreversibly denatured (inactivated) when subjected to the elevated temperatures for the time necessary to effect denaturation of double-stranded nucleic acids. The heating conditions necessary for nucleic acid denaturation are well known in the art and are exemplified in, e.g., U.S. Pat. Nos. 4,683,202, 4,683,195, and 4,965,188, which are incorporated herein by reference. As used herein, a thermostable polymerase is suitable for use in a temperature cycling reaction such as the polymerase chain reaction (“PCR”). Irreversible denaturation for purposes herein refers to permanent and complete loss of enzymatic activity. For a thermostable polymerase, enzymatic activity refers to the catalysis of the combination of the nucleotides in the proper manner to form polynucleotide extension products that are complementary to a template nucleic acid strand. Thermostable DNA polymerases from thermophilic bacteria include, e.g., DNA polymerases from Thermotoga maritima, Thermus aquaticus, Thermus thermophilus, Thermus flavus, Thermus filiformis, Thermus species Sps17, Thermus species Z05, Thermus caldophilus, Bacillus caldotenax, Thermotoga neopolitana, and Thermosipho africanus. The term “thermoactive” refers to an enzyme that maintains catalytic properties at temperatures commonly used for reverse transcription or anneal/extension steps in RT-PCR and/or PCR reactions (i.e., 45-80° C.). Thermostable enzymes are those which are not irreversibly inactivated or denatured when subjected to elevated temperatures necessary for nucleic acid denaturation. Thermoactive enzymes may or may not be thermostable. Thermoactive DNA polymerases can be DNA or RNA dependent from thermophilic species or from mesophilic species including, but not limited to, Escherichia coli, Moloney murine leukemia viruses, and Avian myoblastosis virus. As used herein, a “chimeric” protein refers to a protein whose amino acid sequence represents a fusion product of subsequences of the amino acid sequences from at least two distinct proteins. A chimeric protein typically is not produced by direct manipulation of amino acid sequences, but, rather, is expressed from a “chimeric” gene that encodes the chimeric amino acid sequence. In certain embodiments, for example, an unmodified form of a mutant DNA polymerase of the present invention is a chimeric protein that consists of an amino-terminal (N-terminal) region derived from a Thermus species DNA polymerase and a carboxy-terminal (C-terminal) region derived from Tma DNA polymerase. The N-terminal region refers to a region extending from the N-terminus (amino acid position 1) to an internal amino acid. Similarly, the C-terminal region refers to a region extending from an internal amino acid to the C-terminus. In the context of DNA polymerases, “correspondence” to another sequence (e.g., regions, fragments, nucleotide or amino acid positions, or the like) is based on the convention of numbering according to nucleotide or amino acid position number and then aligning the sequences in a manner that maximizes the percentage of sequence identity. Because not all positions within a given “corresponding region” need be identical, non-matching positions within a corresponding region may be regarded as “corresponding positions.” Accordingly, as used herein, referral to an “amino acid position corresponding to amino acid position [X]” of a specified DNA polymerase refers to equivalent positions, based on alignment, in other DNA polymerases and structural homologues and families. In some embodiments of the present invention, “correspondence” of amino acid positions are determined with respect to a region of the polymerase comprising one or more motifs of SEQ ID NO:1, 2, 3, 4, 5, 6, 7, 36, 37, 38, 39, 40, or 41. When a polymerase polypeptide sequence differs from SEQ ID NOS:1, 2, 3, 4, 5, 6, 7, 36, 37, 38, 39, 40, or 41 (e.g., by changes in amino acids or addition or deletion of amino acids), it may be that a particular mutation associated with improved activity as discussed herein will not be in the same position number as it is in SEQ ID NOS:1, 2, 3, 4, 5, 6, 7, 36, 37, 38, 39, 40, or 41. This is illustrated, for example, in Table 1. “Recombinant,” as used herein, refers to an amino acid sequence or a nucleotide sequence that has been intentionally modified by recombinant methods. By the term “recombinant nucleic acid” herein is meant a nucleic acid, originally formed in vitro, in general, by the manipulation of a nucleic acid by endonucleases, in a form not normally found in nature. Thus an isolated, mutant DNA polymerase nucleic acid, in a linear form, or an expression vector formed in vitro by ligating DNA molecules that are not normally joined, are both considered recombinant for the purposes of this invention. It is understood that once a recombinant nucleic acid is made and reintroduced into a host cell, it will replicate non-recombinantly, i.e., using the in vivo cellular machinery of the host cell rather than in vitro manipulations; however, such nucleic acids, once produced recombinantly, although subsequently replicated non-recombinantly, are still considered recombinant for the purposes of the invention. A “recombinant protein” is a protein made using recombinant techniques, i.e., through the expression of a recombinant nucleic acid as depicted above. A nucleic acid is “operably linked” when it is placed into a functional relationship with another nucleic acid sequence. For example, a promoter or enhancer is operably linked to a coding sequence if it affects the transcription of the sequence; or a ribosome binding site is operably linked to a coding sequence if it is positioned so as to facilitate translation. The term “host cell” refers to both single-cellular prokaryote and eukaryote organisms (e.g., bacteria, yeast, and actinomycetes) and single cells from higher order plants or animals when being grown in cell culture. The term “vector” refers to a piece of DNA, typically double-stranded, which may have inserted into it a piece of foreign DNA. The vector or may be, for example, of plasmid origin. Vectors contain “replicon” polynucleotide sequences that facilitate the autonomous replication of the vector in a host cell. Foreign DNA is defined as heterologous DNA, which is DNA not naturally found in the host cell, which, for example, replicates the vector molecule, encodes a selectable or screenable marker, or encodes a transgene. The vector is used to transport the foreign or heterologous DNA into a suitable host cell. Once in the host cell, the vector can replicate independently of or coincidental with the host chromosomal DNA, and several copies of the vector and its inserted DNA can be generated. In addition, the vector can also contain the necessary elements that permit transcription of the inserted DNA into an mRNA molecule or otherwise cause replication of the inserted DNA into multiple copies of RNA. Some expression vectors additionally contain sequence elements adjacent to the inserted DNA that increase the half-life of the expressed mRNA and/or allow translation of the mRNA into a protein molecule. Many molecules of mRNA and polypeptide encoded by the inserted DNA can thus be rapidly synthesized. The term “nucleotide,” in addition to referring to the naturally occurring ribonucleotide or deoxyribonucleotide monomers, shall herein be understood to refer to related structural variants thereof, including derivatives and analogs, that are functionally equivalent with respect to the particular context in which the nucleotide is being used (e.g., hybridization to a complementary base), unless the context clearly indicates otherwise. The term “nucleic acid” or “polynucleotide” refers to a polymer that can be corresponded to a ribose nucleic acid (RNA) or deoxyribose nucleic acid (DNA) polymer, or an analog thereof. This includes polymers of nucleotides such as RNA and DNA, as well as synthetic forms, modified (e.g., chemically or biochemically modified) forms thereof, and mixed polymers (e.g., including both RNA and DNA subunits). Exemplary modifications include methylation, substitution of one or more of the naturally occurring nucleotides with an analog, internucleotide modifications such as uncharged linkages (e.g., methyl phosphonates, phosphotriesters, phosphoamidates, carbamates, and the like), pendent moieties (e.g., polypeptides), intercalators (e.g., acridine, psoralen, and the like), chelators, alkylators, and modified linkages (e.g., alpha anomeric nucleic acids and the like). Also included are synthetic molecules that mimic polynucleotides in their ability to bind to a designated sequence via hydrogen bonding and other chemical interactions. Typically, the nucleotide monomers are linked via phosphodiester bonds, although synthetic forms of nucleic acids can comprise other linkages (e.g., peptide nucleic acids as described in Nielsen et al. (Science 254:1497-1500, 1991). A nucleic acid can be or can include, e.g., a chromosome or chromosomal segment, a vector (e.g., an expression vector), an expression cassette, a naked DNA or RNA polymer, the product of a polymerase chain reaction (PCR), an oligonucleotide, a probe, and a primer. A nucleic acid can be, e.g., single-stranded, double-stranded, or triple-stranded and is not limited to any particular length. Unless otherwise indicated, a particular nucleic acid sequence comprises or encodes complementary sequences, in addition to any sequence explicitly indicated. The term “oligonucleotide” refers to a nucleic acid that includes at least two nucleic acid monomer units (e.g., nucleotides). An oligonucleotide typically includes from about six to about 175 nucleic acid monomer units, more typically from about eight to about 100 nucleic acid monomer units, and still more typically from about 10 to about 50 nucleic acid monomer units (e.g., about 15, about 20, about 25, about 30, about 35, or more nucleic acid monomer units). The exact size of an oligonucleotide will depend on many factors, including the ultimate function or use of the oligonucleotide. Oligonucleotides are optionally prepared by any suitable method, including, but not limited to, isolation of an existing or natural sequence, DNA replication or amplification, reverse transcription, cloning and restriction digestion of appropriate sequences, or direct chemical synthesis by a method such as the phosphotriester method of Narang et al. (Meth. Enzymol. 68:90-99, 1979); the phosphodiester method of Brown et al. (Meth. Enzymol. 68:109-151, 1979); the diethylphosphoramidite method of Beaucage et al. (Tetrahedron Lett. 22:1859-1862, 1981); the triester method of Matteucci et al. (J. Am. Chem. Soc. 103:3185-3191, 1981); automated synthesis methods; or the solid support method of U.S. Pat. No. 4,458,066, entitled “PROCESS FOR PREPARING POLYNUCLEOTIDES,” issued Jul. 3, 1984 to Caruthers et al., or other methods known to those skilled in the art. All of these references are incorporated by reference. The term “primer” as used herein refers to a polynucleotide capable of acting as a point of initiation of template-directed nucleic acid synthesis when placed under conditions in which polynucleotide extension is initiated (e.g., under conditions comprising the presence of requisite nucleoside triphosphates (as dictated by the template that is copied) and a polymerase in an appropriate buffer and at a suitable temperature or cycle(s) of temperatures (e.g., as in a polymerase chain reaction)). To further illustrate, primers can also be used in a variety of other oligonuceotide-mediated synthesis processes, including as initiators of de novo RNA synthesis and in vitro transcription-related processes (e.g., nucleic acid sequence-based amplification (NASBA), transcription mediated amplification (TMA), etc.). A primer is typically a single-stranded oligonucleotide (e.g., oligodeoxyribonucleotide). The appropriate length of a primer depends on the intended use of the primer but typically ranges from 6 to 40 nucleotides, more typically from 15 to 35 nucleotides. Short primer molecules generally require cooler temperatures to form sufficiently stable hybrid complexes with the template. A primer need not reflect the exact sequence of the template but must be sufficiently complementary to hybridize with a template for primer elongation to occur. In certain embodiments, the term “primer pair” means a set of primers including a 5′ sense primer (sometimes called “forward”) that hybridizes with the complement of the 5′ end of the nucleic acid sequence to be amplified and a 3′ antisense primer (sometimes called “reverse”) that hybridizes with the 3′ end of the sequence to be amplified (e.g., if the target sequence is expressed as RNA or is an RNA). A primer can be labeled, if desired, by incorporating a label detectable by spectroscopic, photochemical, biochemical, immunochemical, or chemical means. For example, useful labels include 32P, fluorescent dyes, electron-dense reagents, enzymes (as commonly used in ELISA assays), biotin, or haptens and proteins for which antisera or monoclonal antibodies are available. The term “5′-nuclease probe” refers to an oligonucleotide that comprises at least one light emitting labeling moiety and that is used in a 5′-nuclease reaction to effect target nucleic acid detection. In some embodiments, for example, a 5′-nuclease probe includes only a single light emitting moiety (e.g., a fluorescent dye, etc.). In certain embodiments, 5′-nuclease probes include regions of self-complementarity such that the probes are capable of forming hairpin structures under selected conditions. To further illustrate, in some embodiments a 5′-nuclease probe comprises at least two labeling moieties and emits radiation of increased intensity after one of the two labels is cleaved or otherwise separated from the oligonucleotide. In certain embodiments, a 5′-nuclease probe is labeled with two different fluorescent dyes, e.g., a 5′ terminus reporter dye and the 3′ terminus quencher dye or moiety. In some embodiments, 5′-nuclease probes are labeled at one or more positions other than, or in addition to, terminal positions. When the probe is intact, energy transfer typically occurs between the two fluorophores such that fluorescent emission from the reporter dye is quenched at least in part. During an extension step of a polymerase chain reaction, for example, a 5′-nuclease probe bound to a template nucleic acid is cleaved by the 5′ to 3′ nuclease activity of, e.g., a Taq polymerase or another polymerase having this activity such that the fluorescent emission of the reporter dye is no longer quenched. Exemplary 5′-nuclease probes are also described in, e.g., U.S. Pat. No. 5,210,015, entitled “Homogeneous assay system using the nuclease activity of a nucleic acid polymerase,” issued May 11, 1993 to Gelfand et al., U.S. Pat. No. 5,994,056, entitled “Homogeneous methods for nucleic acid amplification and detection,” issued Nov. 30, 1999 to Higuchi, and U.S. Pat. No. 6,171,785, entitled “Methods and devices for homogeneous nucleic acid amplification and detector,” issued Jan. 9, 2001 to Higuchi, which are each incorporated by reference herein. In other embodiments, a 5′ nuclease probe may be labeled with two or more different reporter dyes and a 3′ terminus quencher dye or moiety. The term “FRET” or “fluorescent resonance energy transfer” or “Foerster resonance energy transfer” refers to a transfer of energy between at least two chromophores, a donor chromophore and an acceptor chromophore (referred to as a quencher). The donor typically transfers the energy to the acceptor when the donor is excited by light radiation with a suitable wavelength. The acceptor typically re-emits the transferred energy in the form of light radiation with a different wavelength. When the acceptor is a “dark” quencher, it dissipates the transferred energy in a form other than light. Whether a particular fluorophore acts as a donor or an acceptor depends on the properties of the other member of the FRET pair. Commonly used donor-acceptor pairs include the FAM-TAMRA pair. Commonly used quenchers are DABCYL and TAMRA. Commonly used dark quenchers include BlackHole Quenchers™ (BHQ), (Biosearch Technologies, Inc., Novato, Calif.), Iowa Black™ (Integrated DNA Tech., Inc., Coralville, Iowa), and BlackBerry™ Quencher 650 (BBQ-650) (Berry & Assoc., Dexter, Mich.). The term “conventional” or “natural” when referring to nucleic acid bases, nucleoside triphosphates, or nucleotides refers to those which occur naturally in the polynucleotide being described (i.e., for DNA these are dATP, dGTP, dCTP and dTTP). Additionally, dITP, and 7-deaza-dGTP are frequently utilized in place of dGTP and 7-deaza-dATP can be utilized in place of dATP in in vitro DNA synthesis reactions, such as sequencing. Collectively, these may be referred to as dNTPs. The term “unconventional” or “modified” when referring to a nucleic acid base, nucleoside, or nucleotide includes modification, derivations, or analogues of conventional bases, nucleosides, or nucleotides that naturally occur in a particular polynucleotide. Certain unconventional nucleotides are modified at the 2′ position of the ribose sugar in comparison to conventional dNTPs. Thus, although for RNA the naturally occurring nucleotides are ribonucleotides (i.e., ATP, GTP, CTP, UTP, collectively rNTPs), because these nucleotides have a hydroxyl group at the 2′ position of the sugar, which, by comparison is absent in dNTPs, as used herein, ribonucleotides are unconventional nucleotides as substrates for DNA polymerases. As used herein, unconventional nucleotides include, but are not limited to, compounds used as terminators for nucleic acid sequencing. Exemplary terminator compounds include but are not limited to those compounds that have a 2′,3′ dideoxy structure and are referred to as dideoxynucleoside triphosphates. The dideoxynucleoside triphosphates ddATP, ddTTP, ddCTP and ddGTP are referred to collectively as ddNTPs. Additional examples of terminator compounds include 2′-PO4 analogs of ribonucleotides (see, e.g., U.S. Application Publication Nos. 2005/0037991 and 2005/0037398, which are both incorporated by reference). Other unconventional nucleotides include phosphorothioate dNTPs ([[α]-S]dNTPs), 5′-[α]-borano-dNTPs, [α]-methyl-phosphonate dNTPs, and ribonucleoside triphosphates (rNTPs). Unconventional bases may be labeled with radioactive isotopes such as 32P, 33P, or 35S; fluorescent labels; chemiluminescent labels; bioluminescent labels; hapten labels such as biotin; or enzyme labels such as streptavidin or avidin. Fluorescent labels may include dyes that are negatively charged, such as dyes of the fluorescein family, or dyes that are neutral in charge, such as dyes of the rhodamine family, or dyes that are positively charged, such as dyes of the cyanine family. Dyes of the fluorescein family include, e.g., FAM, HEX, TET, JOE, NAN and ZOE. Dyes of the rhodamine family include Texas Red, ROX, R110, R6G, and TAMRA. Various dyes or nucleotides labeled with FAM, HEX, TET, JOE, NAN, ZOE, ROX, R110, R6G, Texas Red and TAMRA are marketed by Perkin-Elmer (Boston, Mass.), Applied Biosystems (Foster City, Calif.), or Invitrogen/Molecular Probes (Eugene, Oreg.). Dyes of the cyanine family include Cy2, Cy3, Cy5, and Cy7 and are marketed by GE Healthcare UK Limited (Amersham Place, Little Chalfont, Buckinghamshire, England). As used herein, “percentage of sequence identity” is determined by comparing two optimally aligned sequences over a comparison window, wherein the portion of the sequence in the comparison window can comprise additions or deletions (i.e., gaps) as compared to the reference sequence (which does not comprise additions or deletions) for optimal alignment of the two sequences. The percentage is calculated by determining the number of positions at which the identical nucleic acid base or amino acid residue occurs in both sequences to yield the number of matched positions, dividing the number of matched positions by the total number of positions in the window of comparison and multiplying the result by 100 to yield the percentage of sequence identity. The terms “identical” or “identity,” in the context of two or more nucleic acids or polypeptide sequences, refer to two or more sequences or subsequences that are the same. Sequences are “substantially identical” to each other if they have a specified percentage of nucleotides or amino acid residues that are the same (e.g., at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, at least 50%, at least 55%, at least 60%, at least 65%, at least 70%, at least 75%, at least 80%, at least 85%, at least 90%, or at least 95% identity over a specified region), when compared and aligned for maximum correspondence over a comparison window, or designated region as measured using one of the following sequence comparison algorithms or by manual alignment and visual inspection. These definitions also refer to the complement of a test sequence. Optionally, the identity exists over a region that is at least about 50 nucleotides in length, or more typically over a region that is 100 to 500 or 1000 or more nucleotides in length. The terms “similarity” or “percent similarity,” in the context of two or more polypeptide sequences, refer to two or more sequences or subsequences that have a specified percentage of amino acid residues that are either the same or similar as defined by a conservative amino acid substitutions (e.g., 60% similarity, optionally 65%, 70%, 75%, 80%, 85%, 90%, or 95% similar over a specified region), when compared and aligned for maximum correspondence over a comparison window, or designated region as measured using one of the following sequence comparison algorithms or by manual alignment and visual inspection. Sequences are “substantially similar” to each other if they are at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, at least 50%, or at least 55% similar to each other. Optionally, this similarly exists over a region that is at least about 50 amino acids in length, or more typically over a region that is at least about 100 to 500 or 1000 or more amino acids in length. For sequence comparison, typically one sequence acts as a reference sequence, to which test sequences are compared. When using a sequence comparison algorithm, test and reference sequences are entered into a computer, subsequence coordinates are designated, if necessary, and sequence algorithm program parameters are designated. Default program parameters are commonly used, or alternative parameters can be designated. The sequence comparison algorithm then calculates the percent sequence identities or similarities for the test sequences relative to the reference sequence, based on the program parameters. A “comparison window,” as used herein, includes reference to a segment of any one of the number of contiguous positions selected from the group consisting of from 20 to 600, usually about 50 to about 200, more usually about 100 to about 150 in which a sequence may be compared to a reference sequence of the same number of contiguous positions after the two sequences are optimally aligned. Methods of alignment of sequences for comparison are well known in the art. Optimal alignment of sequences for comparison can be conducted, for example, by the local homology algorithm of Smith and Waterman (Adv. Appl. Math. 2:482, 1970), by the homology alignment algorithm of Needleman and Wunsch (J. Mol. Biol. 48:443, 1970), by the search for similarity method of Pearson and Lipman (Proc. Natl. Acad. Sci. USA 85:2444, 1988), by computerized implementations of these algorithms (e.g., GAP, BESTFIT, FASTA, and TFASTA in the Wisconsin Genetics Software Package, Genetics Computer Group, 575 Science Dr., Madison, Wis.), or by manual alignment and visual inspection (see, e.g., Ausubel et al., Current Protocols in Molecular Biology (1995 supplement)). Algorithms suitable for determining percent sequence identity and sequence similarity are the BLAST and BLAST 2.0 algorithms, which are described in Altschul et al. (Nuc. Acids Res. 25:3389-402, 1977), and Altschul et al. (J. Mol. Biol. 215:403-10, 1990), respectively. Software for performing BLAST analyses is publicly available through the National Center for Biotechnology Information (http://www.ncbi.nlm.nih.gov/). This algorithm involves first identifying high scoring sequence pairs (HSPs) by identifying short words of length W in the query sequence, which either match or satisfy some positive-valued threshold score T when aligned with a word of the same length in a database sequence. T is referred to as the neighborhood word score threshold (Altschul et al., supra). These initial neighborhood word hits act as seeds for initiating searches to find longer HSPs containing them. The word hits are extended in both directions along each sequence for as far as the cumulative alignment score can be increased. Cumulative scores are calculated using, for nucleotide sequences, the parameters M (reward score for a pair of matching residues; always >0) and N (penalty score for mismatching residues; always <0). For amino acid sequences, a scoring matrix is used to calculate the cumulative score. Extension of the word hits in each direction are halted when: the cumulative alignment score falls off by the quantity X from its maximum achieved value; the cumulative score goes to zero or below, due to the accumulation of one or more negative-scoring residue alignments; or the end of either sequence is reached. The BLAST algorithm parameters W, T, and X determine the sensitivity and speed of the alignment. The BLASTN program (for nucleotide sequences) uses as defaults a wordlength (W) of 11, an expectation (E) or 10, M=5, N=−4 and a comparison of both strands. For amino acid sequences, the BLASTP program uses as defaults a wordlength of 3, and expectation (E) of 10, and the BLOSUM62 scoring matrix (see Henikoff and Henikoff, Proc. Natl. Acad. Sci. USA 89:10915, 1989) alignments (B) of 50, expectation (E) of 10, M=5, N=−4, and a comparison of both strands. The BLAST algorithm also performs a statistical analysis of the similarity between two sequences (see, e.g., Karlin and Altschul, Proc. Natl. Acad. Sci. USA 90:5873-87, 1993). One measure of similarity provided by the BLAST algorithm is the smallest sum probability (P(N)), which provides an indication of the probability by which a match between two nucleotide or amino acid sequences would occur by chance. For example, a nucleic acid is considered similar to a reference sequence if the smallest sum probability in a comparison of the test nucleic acid to the reference nucleic acid is less than about 0.2, typically less than about 0.01, and more typically less than about 0.001. The term “mismatch discrimination” refers to the ability of a biocatalyst (e.g., an enzyme, such as a polymerase, ligase, or the like) to distinguish a fully complementary sequence from a mismatch-containing sequence when extending a nucleic acid (e.g., a primer or other oligonucleotide) in a template-dependent manner by attaching (e.g., covalently) one or more nucleotides to the nucleic acid. The term “3′-mismatch discrimination” refers to the ability of a biocatalyst to distinguish a fully complementary sequence from a mismatch-containing (nearly complementary) sequence where the nucleic acid to be extended (e.g., a primer or other oligonucleotide) has a mismatch at the nucleic acid's 3′ terminus compared to the template to which the nucleic acid hybridizes. In some embodiments, the nucleic acid to be extended comprises a mismatch at the 3′ end relative to the fully complementary sequence. In some embodiments, the nucleic acid to be extended comprises a mismatch at the penultimate (N-1) 3′ position and/or at the N-2 position relative to the fully complementary sequence. The term “Cp value” or “crossing point” value refers to a value that allows quantification of input target nucleic acids. The Cp value can be determined according to the second-derivative maximum method (Van Luu-The, et al., “Improved real-time RT-PCR method for high-throughput measurements using second derivative calculation and double correction,” BioTechniques, Vol. 38, No. 2, February 2005, pp. 287-293). In the second derivative method, a Cp corresponds to the first peak of a second derivative curve. This peak corresponds to the beginning of a log-linear phase. The second derivative method calculates a second derivative value of the real-time fluorescence intensity curve, and only one value is obtained. The original Cp method is based on a locally defined, differentiable approximation of the intensity values, e.g., by a polynomial function. Then the third derivative is computed. The Cp value is the smallest root of the third derivative. The Cp can also be determined using the fit point method, in which the Cp is determined by the intersection of a parallel to the threshold line in the log-linear region (Van Luu-The, et al., BioTechniques, Vol. 38, No. 2, February 2005, pp. 287-293). These computations are easily carried out by any person skilled in the art. The term “PCR efficiency” refers to an indication of cycle to cycle amplification efficiency for the perfectly matched primer template. PCR efficiency is calculated for each condition using the equation: % PCR efficiency=(10(−slope)−1)×100, wherein the slope was calculated by linear regression with the log copy number plotted on the y-axis and Cp plotted on the x-axis. The term “multiplex” refers to amplification with more than one set of primers, or the amplification of more that one polymorphism site in a single reaction. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 depicts an amino acid sequence alignment of a region from the polymerase domain of exemplary DNA polymerases from various species of bacteria: Thermus species Z05 (Z05) (SEQ ID NO:12), Thermus aquaticus (Taq) (SEQ ID NO:13), Thermus filiformus (Tfi) (SEQ ID NO:14), Thermus flavus (Tfl) (SEQ ID NO:15), Thermus species Sps17 (Sps17) (SEQ ID NO:16), Thermus thermophilus (Tth) (SEQ ID NO:17), Thermus caldophilus (Tca) (SEQ ID NO:18), Thermotoga maritima (Tma) (SEQ ID NO:19), Thermotoga neopolitana (Tne) (SEQ ID NO:20), Thermosipho africanus (Taf) (SEQ ID NO:21), Escherichia coli (E) (SEQ ID NO:22), Deinococcus radiodurans (Dra) (SEQ ID NO:23), Bacillus stearothermophilus (Bst) (SEQ ID NO:24), and Bacillus caldotenax (Bca) (SEQ ID NO:25). In addition, the polypeptide regions shown comprise the amino acid motif P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G (SEQ ID NO:26), the variable positions of which are further defined herein. This motif is highlighted in bold type for each polymerase sequence. Amino acid positions amenable to mutation in accordance with the present invention are indicated with an asterisk (*). FIG. 2 provides sequence identities among the following DNA Polymerase I enzymes: Thermus sp. Z05 DNA polymerase (Z05); Thermus aquaticus DNA polymerase (Taq); Thermus filiformis DNA polymerase (Tfi); Thermus flavus DNA polymerase (Tfl); Thermus sp. Sps17 DNA polymerase (Sps17); Thermus thermophilus DNA polymerase (Tth); Thermus caldophilus DNA polymerase (Tca); Deinococcus radiodurans DNA polymerase (Dra); Thermotoga maritima DNA polymerase (Tma); Thermotoga neopolitana DNA polymerase (Tne); Thermosipho africanus DNA polymerase (Taf); Bacillus stearothermophilus DNA polymerase (Bst); and Bacillus caldotenax DNA polymerase (Bca). (A) sequence identities over the entire polymerase I enzyme (corresponding to amino acids 1-834 of Z05); and (B) sequence identities over the polymerase sub domain corresponding to amino acids 420-834 of Z05. DETAILED DESCRIPTION The present invention provides improved DNA polymerases in which one or more amino acids in the polymerase domain have been identified as improving one or more polymerase activity or characteristics. The DNA polymerases of the invention are active enzymes having increased 3′-mismatch discrimination activity (i.e., the inventive polymerases described herein are less likely to extend primers that are mismatched to template at or near the 3′ end of the primer) relative to the unmodified form of the polymerase otherwise identical except for the amino acid difference noted herein. The DNA polymerases are useful in a variety of applications involving polynucleotide extension or amplification of polynucleotide templates, including, for example, applications in recombinant DNA studies and medical diagnosis of disease. Polymerases of the Invention In some embodiments, the DNA polymerases of the invention can be characterized by having the following motif: Pro-Asn-Leu-Gln-Asn-X1-Pro-X2-X3-X4-X5-X6-Gly (also referred to herein in the one-letter code as P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G); wherein X1 is Ile (I), or Leu (L); X2 is Ile (I), Val (V), or Thr (T); X3 is any amino acid other than Arg (R) or Lys (K); X4 is Thr (T), Ser (S) or Leu (L); X5 is Pro (P) or Glu (E); and X6 is Leu (L) or Glu (E) (SEQ ID NO:8). In some embodiments, X3 is selected from G, A, L, M, W, P, S, T, F, Y, C, N, Q, D, E, V, I or H (SEQ ID NO:42). In some embodiments, DNA polymerases of the invention can be characterized by having the following motif (corresponding to Thermus and Thermotoga): Pro-Asn-Leu-Gln-Asn-X1-Pro-X2-X3-X4-X5-X6-Gly (also referred to herein in the one-letter code as P-N-L-Q-N-X1-P-X2-X3-X4-X5-X6-G); wherein X1 is Ile (I), or Leu (L); X2 is Ile (I), Val (V), or Thr (T); X3 is any amino acid other than Arg (R) or Lys (K); X4 is Thr (T) or Ser (S); X5 is Pro (P) or Glu (E); and X6 is Leu (L) or Glu (E) (SEQ ID NO:9). In some embodiments, DNA polymerases of the invention can be characterized by having the following motif: Pro-Asn-Leu-Gln-Asn-Ile-Pro-X2-X3-Thr-Pro-Leu-Gly (also referred to herein in the one-letter code as P-N-L-Q-N-I-P-X2-X3-T-P-L-G); wherein X2 is Ile (I) or Val (V); X3 is any amino acid other than Arg (R) (SEQ ID NO:10). In some embodiments, DNA polymerases of the invention can be characterized by having the following motif: Pro-Asn-Leu-Gln-Asn-Ile-Pro-Ile-Xa3-Thr-Pro-Leu-Gly (also referred to herein in the one-letter code as P-N-L-Q-N-I-P-I-X3-T-P-L-G); wherein X3 is His (H), Leu (L), or Ser (S) (SEQ ID NO:11). This motif is present within the “fingers” domain of many Family A type DNA-dependent DNA polymerases, particularly thermostable DNA polymerases from thermophilic bacteria (Li et al., EMBO J. 17:7514-7525, 1998). For example, FIG. 1 shows an amino acid sequence alignment comprising the native sequence corresponding to the motif above in DNA polymerases from several species of bacteria: Escherichia coli, Bacillus caldotenax, Bacillus stearothermophilus, Deinococcus radiodurans, Thermosipho africanus, Thermotoga maritima, Thermotoga neopolitana, Thermus aquaticus, Thermus caldophilus, Thermus filiformus, Thermus flavus, Thermus sp. Sps17, Thermus sp. Z05, and Thermus thermophilus. As shown, the motif of SEQ ID NO:8 (except where X3 is R or K) is present in each of these polymerases, indicating a conserved function for this region of the polymerase. FIG. 2 provides sequence identities among these DNA polymerases. Accordingly, in some embodiments, the invention provides for a polymerase comprising SEQ ID NO:8, 9, 10, or 11 (e.g., where X3 is selected, as appropriate based on the consensus sequence, from G, A, V, L, I, M, F, W, P, S, T, C, Y, N, Q, D, E, K, or H), having the improved activity and/or characteristics described herein, and wherein the DNA polymerase is otherwise a wild-type or a naturally occurring DNA polymerase, such as, for example, a polymerase from any of the species of thermophilic bacteria listed above, or is substantially identical to such a wild-type or a naturally occurring DNA polymerase. For example, in some embodiments, the polymerase of the invention comprises SEQ ID NO:8, 9, 10, or 11 and is at least 80%, 85%, 90%, or 95% identical to SEQ ID NO:1, 2, 3, 4, 5, 6, 7, 36, 37, 38, 39, 40, or 41. In one variation, the unmodified form of the polymerase is from a species of the genus Thermus. In some embodiments of the invention, the unmodified polymerase is from a thermophilic species other than Thermus, e.g., Thermotoga. The full nucleic acid and amino acid sequence for numerous thermostable DNA polymerases are available. The sequences each of Thermus aquaticus (Taq) (SEQ ID NO:2), Thermus thermophilus (Tth) (SEQ ID NO:6), Thermus species Z05 (SEQ ID NO:1), Thermus species Sps17 (SEQ ID NO:5), Thermotoga maritima (Tma) (SEQ ID NO:38), and Thermosipho africanus (Taf) (SEQ ID NO:37) polymerase have been published in PCT International Patent Publication No. WO 92/06200, which is incorporated herein by reference. The sequence for the DNA polymerase from Thermus flavus (SEQ ID NO:4) has been published in Akhmetzjanov and Vakhitov (Nucleic Acids Research 20:5839, 1992), which is incorporated herein by reference. The sequence of the thermostable DNA polymerase from Thermus caldophilus (SEQ ID NO:7) is found in EMBL/GenBank Accession No. U62584. The sequence of the thermostable DNA polymerase from Thermus filiformis can be recovered from ATCC Deposit No. 42380 using, e.g., the methods provided in U.S. Pat. No. 4,889,818, as well as the sequence information provided in Table 1. The sequence of the Thermotoga neapolitana DNA polymerase (SEQ ID NO:39) is from GeneSeq Patent Data Base Accession No. R98144 and PCT WO 97/09451, each incorporated herein by reference. The sequence of the thermostable DNA polymerase from Bacillus caldotenax (SEQ ID NO:41) is described in, e.g., Uemori et al. (J Biochem (Tokyo) 113(3):401-410, 1993; see also, Swiss-Prot database Accession No. Q04957 and GenBank Accession Nos. D12982 and BAA02361), which are each incorporated by reference. Examples of unmodified forms of DNA polymerases that can be modified as described herein are also described in, e.g., U.S. Pat. No. 6,228,628, entitled “Mutant chimeric DNA polymerase” issued May 8, 2001 to Gelfand et al.; U.S. Pat. No. 6,346,379, entitled “Thermostable DNA polymerases incorporating nucleoside triphosphates labeled with fluorescein family dyes” issued Feb. 12, 2002 to Gelfand et al.; U.S. Pat. No. 7,030,220, entitled “Thermostable enzyme promoting the fidelity of thermostable DNA polymerases-for improvement of nucleic acid synthesis and amplification in vitro” issued Apr. 18, 2006 to Ankenbauer et al.; U.S. Pat. No. 6,881,559, entitled “Mutant B-type DNA polymerases exhibiting improved performance in PCR” issued Apr. 19, 2005 to Sobek et al.; U.S. Pat. No. 6,794,177, entitled “Modified DNA-polymerase from carboxydothermus hydrogenoformans and its use for coupled reverse transcription and polymerase chain reaction” issued Sep. 21, 2004 to Markau et al.; U.S. Pat. No. 6,468,775, entitled “Thermostable DNA polymerase from carboxydothermus hydrogenoformans” issued Oct. 22, 2002 to Ankenbauer et al.; and U.S. Pat. Appl. Nos. 20040005599, entitled “Thermostable or thermoactive DNA polymerase molecules with attenuated 3′-5′ exonuclease activity” filed Mar. 26, 2003 by Schoenbrunner et al.; 20020012970, entitled “High temperature reverse transcription using mutant DNA polymerases” filed Mar. 30, 2001 by Smith et al.; 20060078928, entitled “Thermostable enzyme promoting the fidelity of thermostable DNA polymerases-for improvement of nucleic acid synthesis and amplification in vitro” filed Sep. 29, 2005 by Ankenbauer et al.; 20040115639, entitled “Reversibly modified thermostable enzymes for DNA synthesis and amplification in vitro” filed Dec. 11, 2002 by Sobek et al., which are each incorporated by reference. Representative full length polymerase sequences are also provided in the sequence listing. In some embodiments, the polymerase of the invention, as well as having a polymerase domain comprising SEQ ID NOS:8, 9, 10, or 11, also comprises a nuclease domain (e.g., corresponding to positions 1 to 291 of Z05). In some embodiments, a polymerase of the invention is a chimeric polymerase, i.e., comprising polypeptide regions from two or more enzymes. Examples of such chimeric DNA polymerases are described in, e.g., U.S. Pat. No. 6,228,628, which is incorporated by reference herein in its entirety. Particularly suitable are chimeric CS-family DNA polymerases, which include the CS5 (SEQ ID NO:29) and CS6 (SEQ ID NO:30) polymerases and variants thereof having substantial sequence identity or similarity to SEQ ID NO:29 or SEQ ID NO:30 (typically at least 80% sequence identity and more typically at least 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98% or 99% sequence identity) and can thus be modified to contain SEQ ID NO:8. The CS5 and CS6 DNA polymerases are chimeric enzymes derived from Thermus sp. Z05 and Thermotoga maritima (Tma) DNA polymerases. They comprise the N-terminal 5′-nuclease domain of the Thermus enzyme and the C-terminal 3′-5′ exonuclease and the polymerase domains of the Tma enzyme. These enzymes have efficient reverse transcriptase activity, can extend nucleotide analog-containing primers, and can utilize alpha-phosphorothioate dNTPs, dUTP, dITP, and also fluorescein- and cyanine-dye family labeled dNTPs. The CS5 and CS6 polymerases are also efficient Mg2+-activated PCR enzymes. The CS5 and CS6 chimeric polymerases are further described in, e.g., U.S. Pat. Application Publication No. 2004/0005599, which is incorporated by reference herein in its entirety. In some embodiments, the polymerase of the invention comprises SEQ ID NO:8, 9, 10, or 11 and further comprises one or more additional amino acid changes (e.g., by amino acid substitution, addition, or deletion) compared to a native polymerase. In some embodiments, such polymerases retain the amino acid motif of SEQ ID NO:8 (or a motif of SEQ ID NO:9, 10 or 11), and further comprise the amino acid motif of SEQ ID NO:27 (corresponding to the D580X mutation of Z05 (SEQ ID NO:1)) as follows: T-G-R-L-S-S-X7-X8-P-N-L-Q-N; wherein X7 is Ser (S) or Thr (T); and X8 is any amino acid other than D or E (SEQ ID NO:27) The mutation characterized by SEQ ID NO:27 is discussed in more detail in, e.g., US Patent Publication No. 2009/0148891. In some embodiments, such functional variant polymerases typically will have substantial sequence identity or similarity to the wild-type or naturally occurring polymerase (e.g., SEQ ID NO:1, 2, 3, 4, 5, 6, 7, 39, 40, 41, 42, 43, or 44), typically at least 80% sequence identity and more typically at least 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98% or 99% sequence identity. In some embodiments, the amino acid at position X3 is substituted with an amino acid as set forth in SEQ ID NO:8, 9, 10 or 11, and the amino acid at position X8 is substituted with an amino acid as set forth in SEQ ID NO:27. Thus, in some embodiments, the amino acid at position X3 is any amino acid other than Arg (R) or Lys (K) and the amino acid at position X8 is any amino acid other than Asp (D) or Glu (E). In some embodiments, amino acid substitutions include Leucine (L), Glycine (G), Threonine (T), Glutamine (Q), Alanine (A), Serine (S), Asparagine (N), Arginine (R), and Lysine (K) at position X8 of SEQ ID NO:27. In certain embodiments, amino acid substitutions independently include Histidine (H), Lysine (K) or Serine (S) at position X3, and Glycine (G) at position X8. Other suitable amino acid substitution(s) at one or more of the identified sites can be determined using, e.g., known methods of site-directed mutagenesis and determination of polynucleotide extension performance in assays described further herein or otherwise known to persons of skill in the art. Because the precise length of DNA polymerases vary, the precise amino acid positions corresponding to each of X3 and X8 can vary depending on the particular polymerase used. Amino acid and nucleic acid sequence alignment programs are readily available (see, e.g., those referred to supra) and, given the particular motifs identified herein, serve to assist in the identification of the exact amino acids (and corresponding codons) for modification in accordance with the present invention. The positions corresponding to each of X3 and X8 are shown in Table 1 for representative chimeric thermostable DNA polymerases and thermostable DNA polymerases from exemplary thermophilic species. TABLE 1 Amino Acid Positions Corresponding to Motif Positions X3 (e.g., of SEQ ID NOS: 8, 9, 10, and 11) and X8 (of SEQ ID NO: 27) in Exemplary Polymerases. Organism or Chimeric Sequence Amino Acid Position Consensus (SEQ ID NO:) X3 X8 (of SEQ ID NO: 27) T. thermophilus (6) 589 580 T. caldophilus (7) 589 580 T. sp. Z05 (1) 589 580 T. aquaticus (2) 587 578 T. flavus (4) 586 577 T. filiformis (3) 585 576 T. sp. Sps17 (5) 585 576 T. maritima (38) 649 640 T. neapolitana (39) 649 640 T. africanus (37) 648 639 B. caldotenax (41) 630 621 B. stearothermophilus (40) 629 620 CS5 (29) 649 640 CS6 (30) 649 640 In some embodiments, the DNA polymerase of the present invention is derived from Thermus sp. Z05 DNA polymerase (SEQ ID NO:1) or a variant thereof (e.g., carrying the D580G mutation or the like). As referred to above, in Thermus sp. Z05 DNA polymerase, position X3 corresponds to Arginine (R) at position 589; position X8 corresponds to Aspartate (D) at position 580. Thus, in certain variations of the invention, the mutant polymerase comprises at least one amino acid substitution, relative to a Thermus sp. Z05 DNA polymerase, at R589 and D580. Thus, in some embodiments, the amino acid at position 589 is not R. In some embodiments, the amino acid at position 589 is selected from G, A, V, L, I, M, F, W, P, S, T, C, Y, N, Q, D, E, K, or H. In certain embodiments, the amino acid residue at position R589 is H, L, or S. The amino acid residues at position D580 can be selected from Leucine (L), Glycine (G), Threonine (T), Glutamine (Q), Alanine (A), Serine (S), Asparagine (N), Arginine (R), and Lysine (K). Exemplary Thermus sp. Z05 DNA polymerase mutants include those comprising the amino acid substitution(s) R589H, R589L, or R589S, and D580G. In some embodiments, the Z05 DNA polymerase further comprises additional amino acid substitutions. For example, in some embodiments, the amino acid at position 517 of SEQ ID NO:1 is any amino acid other than S. In some embodiments, the amino acid at position 517 of SEQ ID NO:1 is selected from G, A, V, L, I, M, F, W, P, R, K, T, C, Y, N, Q, D, E or H. In some embodiments, the amino acid at position 517 of SEQ ID NO:1 is G. In some embodiments, the amino acid at position 770 of SEQ ID NO:1 is any amino acid other than L. In some embodiments, the amino acid at position 770 of SEQ ID NO:1 is selected from G, A, V, S, I, M, F, W, P, R, K, T, C, Y, N, Q, D, E or H. In some embodiments, the amino acid at position 770 of SEQ ID NO:1 is F. In some embodiments, the amino acid at position 794 of SEQ ID NO: 1 is any amino acid other than P. In some embodiments, the amino acid at position 794 of SEQ ID NO:1 is selected from G, A, V, L, I, M, F, W, S, R, K, T, C, Y, N, Q, D, E or H. In some embodiments, the amino acid at position 794 of SEQ ID NO:1 is T. Exemplary Thermus sp. Z05 DNA polymerase mutants include those comprising the amino acid substitution(s) R589H, R589L, R589S, S517G, L770F, P794T, and/or D580G. In some embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions R589H and D580G, or R589L and D580G, or R589S and D580G. In some embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions R589H and S517G, or R589L and S517G, or R589S and S517G. In some embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions R589H and L770F, or R589L and L770F, or R589S and L770F. In some embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions R589H and P794T, or R589L and P794T, or R589S and P794T. In certain embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions independently selected from R589H, S517G, L770F, P794T, and/or D580G. In certain embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions independently selected from R589L, S517G, L770F, P794T, and/or D580G. In certain embodiments, the mutant Thermus sp. Z05 DNA polymerase comprises, e.g., amino acid residue substitutions independently selected from R589S, S517G, L770F, P794T, and/or D580G. In some embodiments, the DNA polymerase of the invention comprises an amino acid at the position corresponding to position 589 of SEQ ID NO:1 that does not have a polar, positively-charged side-chain (e.g., K or R) at neutral pH (e.g., about pH 7.4). In some embodiments, the DNA polymerase of the invention is derived from a Thermus species, and the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is an amino acid that does not have a polar, positively-charged side-chain (e.g., R) at neutral pH (e.g., about pH 7.4). In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 is an amino acid having a polar or nonpolar, uncharged side-chain (e.g., H, L, or S) at neutral pH (e.g., about pH 7.4). In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 having a polar, uncharged side-chain is H or S. It will be understood that at about pH 7.4, the side-chain of H is predominantly uncharged, with a small percentage of positively charged side-chains. In some embodiments, the amino acid at the position corresponding to position 589 of SEQ ID NO:1 having a nonpolar, uncharged side-chain is L. In some embodiments, the DNA polymerases of the present invention can also include other, non-substitutional modification(s). Such modifications can include, for example, covalent modifications known in the art to confer an additional advantage in applications comprising polynucleotide extension. For example, in certain embodiments, the mutant DNA polymerase further includes a thermally reversible covalent modification. DNA polymerases comprising such thermally reversible modifications are particularly suitable for hot-start applications, such as, e.g., various hot-start PCR techniques. Thermally reversible modifier reagents amenable to use in accordance with the mutant DNA polymerases of the present invention are described in, for example, U.S. Pat. No. 5,773,258 to Birch et al., which is incorporated by reference herein. For example, particularly suitable polymerases comprising a thermally reversible covalent modification are produced by a reaction, carried out at alkaline pH at a temperature which is less than about 25° C., of a mixture of a thermostable enzyme and a dicarboxylic acid anhydride having a general formula as set forth in the following formula I: where R1 and R2 are hydrogen or organic radicals, which may be linked; or having the following formula II: where R1 and R2 are organic radicals, which may linked, and the hydrogens are cis, essentially as described in Birch et al, supra. The DNA polymerases of the present invention can be constructed by mutating the DNA sequences that encode the corresponding unmodified polymerase (e.g., a wild-type polymerase or a corresponding variant from which the polymerase of the invention is derived), such as by using techniques commonly referred to as site-directed mutagenesis. Nucleic acid molecules encoding the unmodified form of the polymerase can be mutated by a variety of polymerase chain reaction (PCR) techniques well-known to one of ordinary skill in the art. (See, e.g., PCR Strategies (M. A. Innis, D. H. Gelfand, and J. J. Sninsky eds., 1995, Academic Press, San Diego, Calif.) at Chapter 14; PCR Protocols: A Guide to Methods and Applications (M. A. Innis, D. H. Gelfand, J. J. Sninsky, and T. J. White eds., Academic Press, N Y, 1990). By way of non-limiting example, the two primer system, utilized in the Transformer Site-Directed Mutagenesis kit from Clontech, may be employed for introducing site-directed mutants into a polynucleotide encoding an unmodified form of the polymerase. Following denaturation of the target plasmid in this system, two primers are simultaneously annealed to the plasmid; one of these primers contains the desired site-directed mutation, the other contains a mutation at another point in the plasmid resulting in elimination of a restriction site. Second strand synthesis is then carried out, tightly linking these two mutations, and the resulting plasmids are transformed into a mutS strain of E. coli. Plasmid DNA is isolated from the transformed bacteria, restricted with the relevant restriction enzyme (thereby linearizing the unmutated plasmids), and then retransformed into E. coli. This system allows for generation of mutations directly in an expression plasmid, without the necessity of subcloning or generation of single-stranded phagemids. The tight linkage of the two mutations and the subsequent linearization of unmutated plasmids result in high mutation efficiency and allow minimal screening. Following synthesis of the initial restriction site primer, this method requires the use of only one new primer type per mutation site. Rather than prepare each positional mutant separately, a set of “designed degenerate” oligonucleotide primers can be synthesized in order to introduce all of the desired mutations at a given site simultaneously. Transformants can be screened by sequencing the plasmid DNA through the mutagenized region to identify and sort mutant clones. Each mutant DNA can then be restricted and analyzed by electrophoresis, such as for example, on a Mutation Detection Enhancement gel (Mallinckrodt Baker, Inc., Phillipsburg, N.J.) to confirm that no other alterations in the sequence have occurred (by band shift comparison to the unmutagenized control). Alternatively, the entire DNA region can be sequenced to confirm that no additional mutational events have occurred outside of the targeted region. Verified mutant duplexes in pET (or other) overexpression vectors can be employed to transform E. coli such as, e.g., strain E. coli BL21 (DE3) pLysS, for high level production of the mutant protein, and purification by standard protocols. The method of FAB-MS mapping, for example, can be employed to rapidly check the fidelity of mutant expression. This technique provides for sequencing segments throughout the whole protein and provides the necessary confidence in the sequence assignment. In a mapping experiment of this type, protein is digested with a protease (the choice will depend on the specific region to be modified since this segment is of prime interest and the remaining map should be identical to the map of unmutagenized protein). The set of cleavage fragments is fractionated by, for example, microbore HPLC (reversed phase or ion exchange, again depending on the specific region to be modified) to provide several peptides in each fraction, and the molecular weights of the peptides are determined by standard methods, such as FAB-MS. The determined mass of each fragment are then compared to the molecular weights of peptides expected from the digestion of the predicted sequence, and the correctness of the sequence quickly ascertained. Since this mutagenesis approach to protein modification is directed, sequencing of the altered peptide should not be necessary if the MS data agrees with prediction. If necessary to verify a changed residue, CAD-tandem MS/MS can be employed to sequence the peptides of the mixture in question, or the target peptide can be purified for subtractive Edman degradation or carboxypeptidase Y digestion depending on the location of the modification. Mutant DNA polymerases with more than one amino acid substituted can be generated in various ways. In the case of amino acids located close together in the polypeptide chain, they may be mutated simultaneously using one oligonucleotide that codes for all of the desired amino acid substitutions. If however, the amino acids are located some distance from each other (separated by more than ten amino acids, for example) it is more difficult to generate a single oligonucleotide that encodes all of the desired changes. Instead, one of two alternative methods may be employed. In the first method, a separate oligonucleotide is generated for each amino acid to be substituted. The oligonucleotides are then annealed to the single-stranded template DNA simultaneously, and the second strand of DNA that is synthesized from the template will encode all of the desired amino acid substitutions. An alternative method involves two or more rounds of mutagenesis to produce the desired mutant. The first round is as described for the single mutants: DNA encoding the unmodified polymerase is used for the template, an oligonucleotide encoding the first desired amino acid substitution(s) is annealed to this template, and the heteroduplex DNA molecule is then generated. The second round of mutagenesis utilizes the mutated DNA produced in the first round of mutagenesis as the template. Thus, this template already contains one or more mutations. The oligonucleotide encoding the additional desired amino acid substitution(s) is then annealed to this template, and the resulting strand of DNA now encodes mutations from both the first and second rounds of mutagenesis. This resultant DNA can be used as a template in a third round of mutagenesis, and so on. Alternatively, the multi-site mutagenesis method of Seyfang & Jin (Anal. Biochem. 324:285-291. 2004) may be utilized. Accordingly, also provided are recombinant nucleic acids encoding any of the DNA polymerases of the present invention (e.g., polymerases comprising any of SEQ ID NOS:8, 9, 10, or 11). Using a nucleic acid of the present invention, encoding a DNA polymerase of the invention, a variety of vectors can be made. Any vector containing replicon and control sequences that are derived from a species compatible with the host cell can be used in the practice of the invention. Generally, expression vectors include transcriptional and translational regulatory nucleic acid regions operably linked to the nucleic acid encoding the mutant DNA polymerase. The term “control sequences” refers to DNA sequences necessary for the expression of an operably linked coding sequence in a particular host organism. The control sequences that are suitable for prokaryotes, for example, include a promoter, optionally an operator sequence, and a ribosome binding site. In addition, the vector may contain a Positive Retroregulatory Element (PRE) to enhance the half-life of the transcribed mRNA (see Gelfand et al. U.S. Pat. No. 4,666,848). The transcriptional and translational regulatory nucleic acid regions will generally be appropriate to the host cell used to express the polymerase. Numerous types of appropriate expression vectors, and suitable regulatory sequences are known in the art for a variety of host cells. In general, the transcriptional and translational regulatory sequences may include, e.g., promoter sequences, ribosomal binding sites, transcriptional start and stop sequences, translational start and stop sequences, and enhancer or activator sequences. In typical embodiments, the regulatory sequences include a promoter and transcriptional start and stop sequences. Vectors also typically include a polylinker region containing several restriction sites for insertion of foreign DNA. In certain embodiments, “fusion flags” are used to facilitate purification and, if desired, subsequent removal of tag/flag sequence, e.g., “His-Tag”. However, these are generally unnecessary when purifying an thermoactive and/or thermostable protein from a mesophilic host (e.g., E. coli) where a “heat-step” may be employed. The construction of suitable vectors containing DNA encoding replication sequences, regulatory sequences, phenotypic selection genes, and the mutant polymerase of interest are prepared using standard recombinant DNA procedures. Isolated plasmids, viral vectors, and DNA fragments are cleaved, tailored, and ligated together in a specific order to generate the desired vectors, as is well-known in the art (see, e.g., Sambrook et al., Molecular Cloning: A Laboratory Manual (Cold Spring Harbor Laboratory Press, New York, N.Y., 2nd ed. 1989)). In certain embodiments, the expression vector contains a selectable marker gene to allow the selection of transformed host cells. Selection genes are well known in the art and will vary with the host cell used. Suitable selection genes can include, for example, genes coding for ampicillin and/or tetracycline resistance, which enables cells transformed with these vectors to grow in the presence of these antibiotics. In one aspect of the present invention, a nucleic acid encoding a DNA polymerase of the invention is introduced into a cell, either alone or in combination with a vector. By “introduced into” or grammatical equivalents herein is meant that the nucleic acids enter the cells in a manner suitable for subsequent integration, amplification, and/or expression of the nucleic acid. The method of introduction is largely dictated by the targeted cell type. Exemplary methods include CaPO4 precipitation, liposome fusion, LIPOFECTIN®, electroporation, viral infection, and the like. In some embodiments, prokaryotes are used as host cells for the initial cloning steps of the present invention. They are particularly useful for rapid production of large amounts of DNA, for production of single-stranded DNA templates used for site-directed mutagenesis, for screening many mutants simultaneously, and for DNA sequencing of the mutants generated. Suitable prokaryotic host cells include E. coli K12 strain 94 (ATCC No. 31,446), E. coli strain W3110 (ATCC No. 27,325), E. coli K12 strain DG116 (ATCC No. 53,606), E. coli X1776 (ATCC No. 31,537), and E. coli B; however many other strains of E. coli, such as HB101, JM101, NM522, NM538, NM539, and many other species and genera of prokaryotes including bacilli such as Bacillus subtilis, other enterobacteriaceae such as Salmonella typhimurium or Serratia marcesans, and various Pseudomonas species can all be used as hosts. Prokaryotic host cells or other host cells with rigid cell walls are typically transformed using the calcium chloride method as described in section 1.82 of Sambrook et al., supra. Alternatively, electroporation can be used for transformation of these cells. Prokaryote transformation techniques are set forth in, for example Dower, in Genetic Engineering, Principles and Methods 12:275-296 (Plenum Publishing Corp., 1990); Hanahan et al., Meth. Enzymol., 204:63, 1991. Plasmids typically used for transformation of E. coli include pBR322, pUCI8, pUCI9, pUCI18, pUC119, and Bluescript M13, all of which are described in sections 1.12-1.20 of Sambrook et al., supra. However, many other suitable vectors are available as well. In some embodiments, the DNA polymerases of the present invention are produced by culturing a host cell transformed with an expression vector containing a nucleic acid encoding the DNA polymerase, under the appropriate conditions to induce or cause expression of the DNA polymerase. Methods of culturing transformed host cells under conditions suitable for protein expression are well-known in the art (see, e.g., Sambrook et al., supra). Suitable host cells for production of the polymerases from lambda pL promotor-containing plasmid vectors include E. coli strain DG116 (ATCC No. 53606) (see U.S. Pat. No. 5,079,352 and Lawyer, F. C. et al., PCR Methods and Applications 2:275-87, 1993, which are both incorporated herein by reference). Following expression, the polymerase can be harvested and isolated. Methods for purifying the thermostable DNA polymerase are described in, for example, Lawyer et al., supra. Once purified, a DNA polymerase's 3′ mismatch discrimination can be assayed. For example, in some embodiments, 3′ mismatch discrimination activity is determined by comparing the amplification of a target sequence perfectly matched to the primer to amplification of a target that has a single base mismatch at the 3′ end of the primer. Amplification can be detected, for example, in real time by use of TaqMan™ probes. Ability of a polymerase to distinguish between the two target sequences can be estimated by comparing the Cps of the two reactions. Optionally, simultaneous amplification of a second target gene in each well can be performed and detected in a second optical channel as a control. “Delta Cp values” refer to the difference in value between the Cp associated with the mismatched template minus the Cp of the matched target (see, e.g., the Examples). In some embodiments, the improved polymerases of the invention have a delta Cp value of at least 1, 2, 3, 4, 5, or more compared to an otherwise identical control polymerase having a native amino acid (e.g., R) at position X3 of SEQ ID NO:8. In some embodiments, this determination is made with the precise materials and conditions set forth in the Examples. Methods of the Invention The improved DNA polymerases of the present invention may be used for any purpose in which such enzyme activity is necessary or desired. The improved DNA polymerase can be a thermoactive or thermostable DNA polymerase, as described herein. Accordingly, in one aspect of the invention, methods of polynucleotide extension, including PCR, using the polymerases of the invention are provided. In some embodiments, the invention provides a thermoactive DNA polymerase that is useful to extend an RNA or DNA template when amplification of the template nucleic acid is not required, for example, when it is desired to immediately detect the presence of a target nucleic acid. In some embodiments, the invention provides a thermostable DNA polymerase that is useful when it is desired to extend and/or amplify a target nucleic acid. Conditions suitable for polynucleotide extension are known in the art. (See, e.g., Sambrook et al., supra. See also Ausubel et al., Short Protocols in Molecular Biology (4th ed., John Wiley & Sons 1999). Generally, a primer is annealed, i.e., hybridized, to a target nucleic acid to form a primer-template complex. The primer-template complex is contacted with the mutant DNA polymerase and nucleoside triphosphates in a suitable environment to permit the addition of one or more nucleotides to the 3′ end of the primer, thereby producing an extended primer complementary to the target nucleic acid. The primer can include, e.g., one or more nucleotide analog(s). In addition, the nucleoside triphosphates can be conventional nucleotides, unconventional nucleotides (e.g., ribonucleotides or labeled nucleotides), or a mixture thereof. In some variations, the polynucleotide extension reaction comprises amplification of a target nucleic acid. Conditions suitable for nucleic acid amplification using a DNA polymerase and a primer pair are also known in the art (e.g., PCR amplification methods). (See, e.g., Sambrook et al., supra; Ausubel et al., supra; PCR Applications: Protocols for Functional Genomics (Innis et al. eds., Academic Press 1999). In some embodiments, use of the present polymerases, which provide increased 3′ mismatch discrimination, allow for, e.g., rare allele detection. For example, the fidelity of 3′ mismatch discrimination of a particular polymerase sets its sensitivity (ability to accurately detect small quantities of a target sequence in the presence of larger quantities of a different but related non-target sequence). Thus, increased 3′-mismatch discrimination results in greater sensitivity for detection of rare alleles. Rare allele detection is useful, for example, when screening biopsies or other samples for rare genetic changes, e.g., a cell carrying a cancer allele in a mass of normal cells. In some embodiments, the improved polymerases are used for polynucleotide extension in the context of allele specific PCR or single nucleotide polymorphism (SNP) detection. Exemplary SNP detection methods are described in Chen et al., “Single nucleotide polymorphism genotyping: biochemistry, protocol, cost and throughput” Pharmacogenomics J. 3(2):77-96 (2003); Kwok et al., “Detection of single nucleotide polymorphisms” Curr. Issues Mol. Biol. 5(2):43-60 (April 2003); Shi, “Technologies for individual genotyping: detection of genetic polymorphisms in drug targets and disease genes” Am. J. Pharmacogenomics 2(3):197-205 (2002); and Kwok, “Methods for genotyping single nucleotide polymorphisms” Annu. Rev. Genomics Hum. Genet. 2:235-58 (2001). Exemplary techniques for high-throughput SNP detection are described in Marnellos, “High-throughput SNP analysis for genetic association studies” Curr. Opin. Drug Discov. Devel. 6(3):317-21 (May 2003). Common SNP detection methods include, but are not limited to, TaqMan assays, molecular beacon assays, nucleic acid arrays, allele-specific primer extension, allele-specific PCR, arrayed primer extension, homogeneous primer extension assays, primer extension with detection by mass spectrometry, pyrosequencing, multiplex primer extension sorted on genetic arrays, ligation with rolling circle amplification, homogeneous ligation, OLA (U.S. Pat. No. 4,988,167), multiplex ligation reaction sorted on genetic arrays, restriction-fragment length polymorphism, single base extension-tag assays, and the Invader assay. Such methods may be used in combination with detection mechanisms such as, for example, luminescence or chemiluminescence detection, fluorescence detection, time-resolved fluorescence detection, fluorescence resonance energy transfer, fluorescence polarization, mass spectrometry, and electrical detection. Detection of multiple different alleles can also be accomplished using multiplex reactions, which allow the detection of multiple different alleles in a single reaction. In multiplex reactions, two or more allele-specific primers are used to extend and amplify SNPs or multiple nucleotide polymorphisms or alleles. Exemplary methods for multiplex detection of single and multiple nucleotide polymorphisms are described in U.S. Patent Publication No. 2006/0172324, the contents of which are expressly incorporated by reference herein in its entirety. Other methods for detecting extension products or amplification products using the improved polymerases described herein include the use of fluorescent double-stranded nucleotide binding dyes or fluorescent double-stranded nucleotide intercalating dyes. Examples of fluorescent double-stranded DNA binding dyes include SYBR-green (Molecular Probes). Examples of fluorescent double-stranded intercalating dyes include ethidium bromide. The double stranded DNA binding dyes can be used in conjunction with melting curve analysis to measure primer extension products and/or amplification products. The melting curve analysis can be performed on a real-time PCR instrument, such as the ABI 5700/7000 (96 well format) or ABI 7900 (384 well format) instrument with onboard software (SDS 2.1). Alternatively, the melting curve analysis can be performed as an end point analysis. Exemplary methods of melting point analysis are described in U.S. Patent Publication No. 2006/0172324, the contents of which are expressly incorporated by reference herein in its entirety. In yet other embodiments, the polymerases of the invention are used for primer extension in the context of DNA sequencing, DNA labeling, or labeling of primer extension products. For example, DNA sequencing by the Sanger dideoxynucleotide method (Sanger et al., Proc. Natl. Acad. Sci. USA 74: 5463, 1977) is improved by the present invention for polymerases capable of incorporating unconventional, chain-terminating nucleotides. Advances in the basic Sanger et al. method have provided novel vectors (Yanisch-Perron et al., Gene 33:103-119, 1985) and base analogues (Mills et al., Proc. Natl. Acad. Sci. USA 76:2232-2235, 1979; and Barr et al., Biotechniques 4:428-432, 1986). In general, DNA sequencing requires template-dependent primer extension in the presence of chain-terminating base analogs, resulting in a distribution of partial fragments that are subsequently separated by size. The basic dideoxy sequencing procedure involves (i) annealing an oligonucleotide primer, optionally labeled, to a template; (ii) extending the primer with DNA polymerase in four separate reactions, each containing a mixture of unlabeled dNTPs and a limiting amount of one chain terminating agent such as a ddNTP, optionally labeled; and (iii) resolving the four sets of reaction products on a high-resolution denaturing polyacrylamide/urea gel. The reaction products can be detected in the gel by autoradiography or by fluorescence detection, depending on the label used, and the image can be examined to infer the nucleotide sequence. These methods utilize DNA polymerase such as the Klenow fragment of E. coli Pol I or a modified T7 DNA polymerase. The availability of thermostable polymerases, such as Taq DNA polymerase, resulted in improved methods for sequencing with thermostable DNA polymerase (see Innis et al., Proc. Natl. Acad. Sci. USA 85:9436, 1988) and modifications thereof referred to as “cycle sequencing” (Murray, Nuc Acids Res. 17:8889, 1989). Accordingly, thermostable polymerases of the present invention can be used in conjunction with such methods. As an alternative to basic dideoxy sequencing, cycle sequencing is a linear, asymmetric amplification of target sequences complementary to the template sequence in the presence of chain terminators. A single cycle produces a family of extension products of all possible lengths. Following denaturation of the extension reaction product from the DNA template, multiple cycles of primer annealing and primer extension occur in the presence of terminators such as ddNTPs. Cycle sequencing requires less template DNA than conventional chain-termination sequencing. Thermostable DNA polymerases have several advantages in cycle sequencing; they tolerate the stringent annealing temperatures which are required for specific hybridization of primer to nucleic acid targets as well as tolerating the multiple cycles of high temperature denaturation which occur in each cycle, e.g., 90-95° C. For this reason, AMPLITAQ® DNA Polymerase and its derivatives and descendants, e.g., AmpliTaq CS DNA Polymerase and AmpliTaq FS DNA Polymerase have been included in Taq cycle sequencing kits commercialized by companies such as Perkin-Elmer (Norwalk, Conn.) and Applied Biosystems (Foster City, Calif.). The improved polymerases find use in 454 sequencing (Roche) (Margulies, M et al. 2005, Nature, 437, 376-380). 454 sequencing involves two steps. In the first step, DNA is sheared into fragments of approximately 300-800 base pairs, and the fragments are blunt ended. Oligonucleotide adaptors are then ligated to the ends of the fragments. The adaptors serve as primers for amplification and sequencing of the fragments. The fragments can be attached to DNA capture beads, e.g., streptavidin-coated beads using, e.g., Adaptor B, which contains 5′-biotin tag. The fragments attached to the beads are PCR amplified within droplets of an oil-water emulsion. The result is multiple copies of clonally amplified DNA fragments on each bead. In the second step, the beads are captured in wells (pico-liter sized). Pyrosequencing is performed on each DNA fragment in parallel. Addition of one or more nucleotides generates a light signal that is recorded by a CCD camera in a sequencing instrument. The signal strength is proportional to the number of nucleotides incorporated. Pyrosequencing makes use of pyrophosphate (PPi) which is released upon nucleotide addition. PPi is converted to ATP by ATP sulfurylase in the presence of adenosine 5′ phosphosulfate. Luciferase uses ATP to convert luciferin to oxyluciferin, and this reaction generates light that is detected and analyzed. Variations of chain termination sequencing methods include dye-primer sequencing and dye-terminator sequencing. In dye-primer sequencing, the ddNTP terminators are unlabeled, and a labeled primer is utilized to detect extension products (Smith et al., Nature 32:674-679, 1986). In dye-terminator DNA sequencing, a DNA polymerase is used to incorporate dNTPs and fluorescently labeled ddNTPs onto the end of a DNA primer (Lee et al., Nuc. Acids. Res. 20:2471, 1992). This process offers the advantage of not having to synthesize dye labeled primers. Furthermore, dye-terminator reactions are more convenient in that all four reactions can be performed in the same tube. Both dye-primer and dye-terminator methods may be automated using an automated sequencing instrument produced by Applied Biosystems (Foster City, Calif.) (U.S. Pat. No. 5,171,534, which is herein incorporated by reference). When using the instrument, the completed sequencing reaction mixture is fractionated on a denaturing polyacrylamide gel or capillaries mounted in the instrument. A laser at the bottom of the instrument detects the fluorescent products as they are electrophoretically separated according to size through the gel. Two types of fluorescent dyes are commonly used to label the terminators used for dye-terminator sequencing-negatively charged and zwitterionic fluorescent dyes. Negatively charged fluorescent dyes include those of the fluorescein and BODIPY families. BODIPY dyes (4,4-difluoro-4-bora-3a,4a-diaza-s-indacene) are described in International Patent Publication WO 97/00967, which is incorporated herein by reference. Zwitterionic fluorescent dyes include those of the rhodamine family. Commercially available cycle sequencing kits use terminators labeled with rhodamine derivatives. However, the rhodamine-labeled terminators are rather costly and the product must be separated from unincorporated dye-ddNTPs before loading on the gel since they co-migrate with the sequencing products. Rhodamine dye family terminators seem to stabilize hairpin structures in GC-rich regions, which causes the products to migrate anomalously. This can involve the use of dITP, which relaxes the secondary structure but also affects the efficiency of incorporation of terminator. In contrast, fluorescein-labeled terminators eliminate the separation step prior to gel loading since they have a greater net negative charge and migrate faster than the sequencing products. In addition, fluorescein-labeled sequencing products have better electrophoretic migration than sequencing products labeled with rhodamine. Although wild-type Taq DNA polymerase does not efficiently incorporate terminators labeled with fluorescein family dyes, this can now be accomplished efficiently by use of the modified enzymes as described in U.S. Patent Application Publication No. 2002/0142333, which is incorporated by reference herein in its entirety. Accordingly, modifications as described in US 2002/0142333 can be used in the context of the present invention to produce fluorescein-family-dye-incorporating thermostable polymerases having improved primer extension rates. For example, in certain embodiments, the unmodified DNA polymerase in accordance with the present invention is a modified thermostable polymerase as described in US 2002/0142333 and having the motif set forth in SEQ ID NO:8 (or a motif of SEQ ID NO:9, 10 or 11), and optionally the motif of SEQ ID NO:27. Other exemplary nucleic acid sequencing formats in which the mutant DNA polymerases of the invention can be used include those involving terminator compounds that include 2′-PO4 analogs of ribonucleotides (see, e.g., U.S. Application Publication Nos. 2005/0037991 and 2005/0037398, and U.S. patent application Ser. No. 12/174,488, which are each incorporated by reference). Kits In another aspect of the present invention, kits are provided for use in primer extension methods described herein. In some embodiments, the kit is compartmentalized for ease of use and contains at least one container providing a DNA polymerase of the invention having increased 3′ mismatch discrimination in accordance with the present invention. One or more additional containers providing additional reagent(s) can also be included. Such additional containers can include any reagents or other elements recognized by the skilled artisan for use in primer extension procedures in accordance with the methods described above, including reagents for use in, e.g., nucleic acid amplification procedures (e.g., PCR, RT-PCR), DNA sequencing procedures, or DNA labeling procedures. For example, in certain embodiments, the kit further includes a container providing a 5′ sense primer hybridizable, under primer extension conditions, to a predetermined polynucleotide template, or a primer pair comprising the 5′ sense primer and a corresponding 3′ antisense primer. In some embodiments, the kit includes one or more containers containing one or more primers that are fully complementary to single nucleotide polymorphisms or multiple nucleotide polymorphisms, wherein the primers are useful for multiplex reactions, as described above. In other, non-mutually exclusive variations, the kit includes one or more containers providing nucleoside triphosphates (conventional and/or unconventional). In specific embodiments, the kit includes alpha-phosphorothioate dNTPs, dUTP, dITP, and/or labeled dNTPs such as, e.g., fluorescein- or cyanin-dye family dNTPs. In still other, non-mutually exclusive embodiments, the kit includes one or more containers providing a buffer suitable for a primer extension reaction. In some embodiments, the kit includes one or more labeled or unlabeled probes. Examples of probes include dual-labeled FRET (fluorescence resonance energy transfer) probes and molecular beacon probes. In another embodiment, the kit contains an aptamer, e.g., for hot start PCR assays. Reaction Mixtures In another aspect of the present invention, reaction mixtures are provided comprising the polymerases with increased 3′-mismatch discrimination activity, as described herein. The reaction mixtures can further comprise reagents for use in, e.g., nucleic acid amplification procedures (e.g., PCR, RT-PCR), DNA sequencing procedures, or DNA labeling procedures. For example, in certain embodiments, the reaction mixtures comprise a buffer suitable for a primer extension reaction. The reaction mixtures can also contain a template nucleic acid (DNA and/or RNA), one or more primer or probe polynucleotides, nucleoside triphosphates (including, e.g., deoxyribonucleotides, ribonucleotides, labeled nucleotides, unconventional nucleotides), salts (e.g., Mn2+, Mg2+), and labels (e.g., fluorophores). In some embodiments, the reaction mixture further comprises double stranded DNA binding dyes, such as SYBR green, or double stranded DNA intercalating dyes, such as ethidium bromide. In some embodiments, the reaction mixtures contain a 5′-sense primer hybridizable, under primer extension conditions, to a predetermined polynucleotide template, or a primer pair comprising the 5′-sense primer and a corresponding 3′ antisense primer. In certain embodiments, the reaction mixture further comprises a fluorogenic FRET hydrolysis probe for detection of amplified template nucleic acids, for example a Taqman® probe. In some embodiments, the reaction mixture contains two or more primers that are fully complementary to single nucleotide polymorphisms or multiple nucleotide polymorphisms. In some embodiments, the reaction mixtures contain alpha-phosphorothioate dNTPs, dUTP, dITP, and/or labeled dNTPs such as, e.g., fluorescein- or cyanin-dye family dNTPs. EXAMPLES The following examples are offered to illustrate, but not to limit the claimed invention. Example 1 Identification of Mutant DNA Polymerases with Increased 3′-Mismatch Discrimination The control DNA polymerase of this example is a Thermus sp. Z05 DNA polymerase of SEQ ID NO:1 except that the amino acid at position 580 is glycine (e.g., a D580G substitution) (hereinafter Z05 D580G polymerase). Mutations in Z05 D580G polymerase were identified that provide a reduced ability to extend an oligonucleotide primer with a 3′-mismatch to a template. In brief, the steps in this screening process included library generation, expression and partial purification of the mutant enzymes, screening of the enzymes for the desired property, DNA sequencing, clonal purification, and further characterization of selected candidate mutants. Each of these steps is described further below. Clonal Library Generation: A nucleic acid encoding the polymerase domain of Z05 D580G DNA polymerase was subjected to error-prone (mutagenic) PCR between Blp I and Bgl II restriction sites of a plasmid including this nucleic acid sequence. The amplified sequence is provided as SEQ ID NO:33. The primers used for this are given below: Forward Primer: (SEQ ID NO: 31) 5'-CTACCTCCTGGACCCCTCCAA-3'; and, Reverse Primer: (SEQ ID NO: 32) 5'-ATAACCAACTGGTAGTGGCGTGTAA-3'. PCR was performed using a range of Mg2+ concentrations from 1.8-3.6 mM, in order to generate libraries with a range of mutation rates. Buffer conditions were 50 mM Bicine pH 8.2, 115 mM KOAc, 8% w/v glycerol, and 0.2 mM each dNTPs. A GeneAmp® AccuRT Hot Start PCR enzyme was used at 0.15 U/μL. Starting with 5×105 copies of linearized Z05 D580G plasmid DNA per reaction volume of 50 reactions were denatured using a temperature of 94° C. for 60 seconds, then 30 cycles of amplification were performed, using a denaturation temperature of 94° C. for 15 seconds, an annealing temperature of 60° C. for 15 seconds, an extension temperature of 72° C. for 120 seconds, and followed by a final extension at a temperature of 72° C. for 5 minutes. The resulting amplicon was purified with a QIAquick PCR Purification Kit (Qiagen, Inc., Valencia, Calif., USA) and cut with Blp I and Bgl II, and then re-purified with a QIAquick PCR Purification Kit. A Z05 D580G vector plasmid was prepared by cutting with the same two restriction enzymes and treating with alkaline phosphatase, recombinant (RAS, cat#03359123001) and purified with a QIAquick PCR Purification Kit. The cut vector and the mutated insert were mixed at a 1:3 ratio and treated with T4 DNA ligase for 5 minutes at room temperature (NEB Quick Ligation™ Kit). The ligations were purified with a QIAquick PCR Purification Kit and transformed into an E. coli host strain by electroporation. Aliquots of the expressed cultures were plated on ampicillin-selective medium in order to determine the number of unique transformants in each transformation. Transformations were stored at −70° C. to −80° C. in the presence of glycerol as a cryo-protectant. Each library was then spread on large format ampicillin-selective agar plates. Individual colonies were transferred to 384-well plates containing 2× Luria broth with ampicillin and 10% w/v glycerol using an automated colony picker (QPix2, Genetix Ltd). These plates were incubated overnight at 30° C. to allow the cultures to grow and then stored at −70° C. to −80° C. The glycerol added to the 2× Luria broth was low enough to permit culture growth and yet high enough to provide cryo-protection. Several thousand colonies at several mutagenesis (Mg2+) levels were prepared in this way for later use. Extract Library Preparation Part 1—Fermentation: From the clonal libraries described above, a corresponding library of partially purified extracts suitable for screening purposes was prepared. The first step of this process was to make small-scale expression cultures of each clone. These cultures were grown in 96-well format; therefore there were 4 expression culture plates for each 384-well library plate. 0.5 μL was transferred from each well of the clonal library plate to a well of a 96 well seed plate, containing 150 μL of Medium A (see Table 3 below). This seed plate was shaken overnight at 1150 rpm at 30° C., in an iEMS plate incubator/shaker (ThermoElectron). These seed cultures were then used to inoculate the same medium, this time inoculating 20 μL into 250 μL Medium A in large format 96 well plates (Nunc #267334). These plates were incubated overnight at 37° C. with shaking. The expression plasmid contained transcriptional control elements, which allow for expression at 37° C. but not at 30° C. After overnight incubation, the cultures expressed the clone protein at typically 1-10% of total cell protein. The cells from these cultures were harvested by centrifugation. These cells were either frozen (−20° C.) or processed immediately, as described below. TABLE 2 Medium A (Filter-sterilized prior to use) Component Concentration MgSO4•7H2O 0.2 g/L Citric acid•H2O 2 g/L K2HPO4 10 g/L NaNH4PO4•4H2O 3.5 g/L MgSO4 2 mM Casamino acids 2.5 g/L Glucose 2 g/L Thiamine•HCl 10 mg/L Ampicillin 100 mg/L Extract Library Preparation Part 2—Extraction: Cell pellets from the fermentation step were resuspended in 25 μL Lysis buffer (Table 3 below) and transferred to 384-well thermocycler plates and sealed. Note that the buffer contained lysozyme to assist in cell lysis, and DNase to remove DNA from the extract. To lyse the cells the plates were incubated at 37° C. for 15 minutes, frozen overnight at −20° C., and incubated again at 37° C. for 15 minutes. Ammonium sulfate was added (1.5 μL of a 2 M solution) and the plates incubated at 75° C. for 15 minutes in order to precipitate and inactivate contaminating proteins, including the exogenously added nucleases. The plates were centrifuged at 3000×g for 15 minutes at 4° C. and the supernatants transferred to a fresh 384-well thermocycler plate. These extract plates were frozen at −20° C. for later use in screens. Each well contained about 0.5-3 μM of the mutant library polymerase enzyme. TABLE 3 Lysis Buffer Component Concentration or Percentage Tris pH 7.5 50 mM EDTA 1 mM MgCl2 6 mM Tween 20 0.5% v/v Lysozyme (from powder) 1 mg/mL DNase I 0.05 Units/μL Screening Extract Libraries for Reduced 3′ Primer Mismatch Extension Rate: The extract library was screened by comparing the extension rate of a primer perfectly matched to an oligonucleotide template vs. the extension rate of a primer with a 3′ G:T mismatch. The enzyme extracts above were diluted 10-fold for primer extension reactions by combining 2.5 μl extract with 22.5 μl of a buffer containing 20 mM Tris-HCl, pH 8, 100 mM KCl, 0.1 mM EDTA, and 0.2% Tween-20 in a 384-well thermocycler plate, covering and heating for 10 minutes at 90° C. Control reactions with perfect match primer combined 0.5 μl of the diluted extract with 15 μlmaster mix in 384-well PCR plates. Extension of the primed template was monitored every 10 seconds in a modified kinetic thermal cycler using a CCD camera (see, Watson, supra). Master mix contained 50 nM primed primer template, 25 mM Tricine, pH 8.3, 100 mM KOAc, 0.6×SYBR Green I, 200 μM each dNTP, 100 nM Aptamer, and 2.5 mM Magnesium Acetate. In order to distinguish extension-derived fluorescence from background fluorescence, parallel wells were included in the experiment in which primer strand extension was prevented by leaving out the nucleotides from the reaction master mix. Reactions with the 3′-mismatched primer were performed as above except 1.5 μl of the diluted extract was added to each reaction and 1.5 mM Manganese Acetate was substituted for the Magnesium Acetate. Increasing the amount of extract three fold and using Manganese as the metal activator both make mismatch extension more likely and therefore improve the selectivity of the screen for those enzymes with the greatest ability to discriminate against 3′-mismatch extension. Approximately 5000 mutant extracts were screened using the above protocol. Approximately 7% of the original pool was chosen for rescreening based on a perfect match primer extension value above an arbitrary cutoff and low mismatch to perfect match extension ratio. Culture wells corresponding to the top extracts were sampled to fresh growth medium and re-grown to produce a new culture plates containing the best mutants, as well as a number of parental cultures to be used for comparison. These culture plates were then used to make fresh extracts which were rescreened to confirm the original screen phenotype. The primer extension rates for the reactions with the perfect 3′-matched and the 3′-mismatched primers were calculated as the slope of the rise in fluorescence over time for the linear portion of the curve. The ratio of mismatched extension slope divided by the perfect matched extension slope was used to rank and select the best candidates. Selected clones from the rescreening, plus for comparison the parental clone Z05 D580G, with their respective genotypes and phenotypes are included in the table below. TABLE 4 Perfect Match Mismatch MM Slope/ Enzyme Slope Slope PM Slope Z05 D580G 8.29 8.04 0.97 Z05 D580G R589H 8.13 0.50 0.06 Z05 D580G S517G R589L 19.80 3.08 0.16 Z05 D580G R589S L770F 12.00 0.83 0.07 P794T Example 2 Amplification of Mutant BRAF Plasmid Template in a Background of Wild-Type BRAF Human Genomic Template The control DNA polymerase of this example is a Thermus sp. Z05 DNA polymerase of SEQ ID NO:1 except that the amino acid at position 580 is Glycine (e.g., a D580G substitution) (hereinafter Z05 D580G polymerase). Purified Z05 D580G R589H, Z05 D580G S517G R589L, and Z05 D580G R589S L770F P794T were compared to the parental enzyme Z05 D580G in TaqMan PCR for improved discrimination of a mutant BRAF V600R target in a background of wild-type Human Genomic DNA. Primers were used that amplify a region of the human BRAF gene and are perfectly matched to the target when said target carries a mutation in codon 600 of BRAF, V600K. Against wild-type BRAF target, present in human genomic DNA, the allele selective primer results in a single A:C mismatch at the 3′ end. The common primer is perfectly matched to the BRAF gene, as is the probe sequence, which allows for real-time, TaqMan detection of amplification. Each reaction had 10,000 copies (33 ng) of wild-type Human Genomic cell line DNA, or either 10,000 or 100 copies of a linearized plasmid containing the BRAF V600R mutant sequence in a final volume of 16 μl To allow for the different salt optima of the enzymes, amplifications were performed using a range of KCl concentrations from 25 to 130 mM. Buffer conditions were 50 mM Tris-HCl pH 8.0, 2.5 mM MgCl2, 0.2 mM each dNTP, 0.02 U/μl UNG, and 200 nM Aptamer. Forward and Reverse primers were at 100 nM and the probe was at 25 nM. All DNA polymerases were assayed at 20 nM and add 2% (v/v) enzyme storage buffer (50% v/v glycerol, 100 mM KCl, 20 mM Tris pH 8.0, 0.1 mM EDTA, 1 mM DTT, 0.5% Tween 20) to the reactions. The reactions were performed in a Roche LightCycler 480 thermal cycler and denatured using a temperature of 95° C. for 60 seconds, then 99 cycles of amplification were performed, using a denaturation temperature of 92° C. for 10 seconds and an annealing temperature of 62° C. for 30 seconds. Reactions were run in duplicate, crossing points (“Cps”) were calculated by the Abs Quant/2nd derivative Max method and the Cps were averaged. The averaged Cp values are shown in the table below as well as calculated PCR efficiency and discrimination factor values at the KCl concentration for each enzyme which resulted in the earliest high copy mutant Cp. High Copy delta Cp is equal to the difference between the average Cp values of the reactions with 10,000 copy of 3′-mismatched wild-type genomic target and the average Cp values of the reactions with 10,000 copy of perfect match plasmid target in a background of 10,000 copy of 3′-mismatched wild-type genomic target. All reactions have a background of 10,000 copy wild type BRAF target, therefore the Cps of the reactions with no mutant plasmid represent breakthrough amplification of the mismatched primer template and the limit of discrimination for that enzyme under the condition tested. Z05 D580G R589H, Z05 D580G S517G R589L, and Z05 D580G R589S L770F P794T showed better discrimination than the parental Z05 D580G. TABLE 5 Cps of Amplification of BRAF V600K mutant plasmid vs. Human genomic DNA 100 10,000 Optimum 0 copies copies copies High KCL mutant mutant mutant % PCR Discrimination copy Enzyme (mM) plasmid plasmid plasmid Efficiency Factor ΔCp Z05 D580G 120 34.0 32.2 26.1 110 2.6 8 Z05 D580G R589H 100 38.1 32.9 26.5 105 3.6 12 Z05 D580G S517G 120 45.7 33.1 26.4 99 5.7 19 R589L Z05 D580G R589S 80 42.2 33.7 26.2 86 4.3 16 L770F P794T This example demonstrates that the R589H, R589L, and R589S mutant enzymes have improved rare allele detection relative to the control parental enzyme, Z05 D580G. It is understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims. All publications, sequence accession numbers, patents, and patent applications cited herein are hereby incorporated by reference in their entirety for all purposes.",C12N91252,C12N912,20160115,20170919,20160519,67288.0 32,15003724,REJECTED,3-D DISPLAY USING LED PIXEL LAYERS,"Microscopic LED dice are printed in groups, to form pixels, on a thin transparent substrate, and the LEDs in each pixel are sandwiched between two transparent conductor layers to connect the LEDs in parallel. This forms a single 2-dimensional pixel layer that is substantially transparent, where the pixels are individually addressable. Multiple pixel layers are stacked with an index-matched spacer layer therebetween to form a 3-dimensional array of pixels. If the 3-D display is formed as a cube, the viewing window may be the top pixel layer. All pixel layers are simultaneously viewable through the viewing window since each layer is transparent. Accordingly, 3-dimensional images may be displayed. In another embodiment, one or more LED pixels layers are folded, like an accordion, to achieve a stereoscopic effect so that the left and right eyes see different images to convey depth.","1. A display device for displaying three-dimensional images comprising: a plurality of stacked pixel layers, each pixel layer comprising a substantially transparent layer containing addressable light emitting diodes (LEDs) grouped into pixels; and a controller for supplying currents to selected pixels in the stacked pixel layers such that energized pixels in the different pixel layers are simultaneously viewable through a viewing window of the display device to create a three-dimensional image. 2. The device of claim 1 wherein the LEDs in each pixel layer are microscopic and printed as an LED ink over a first transparent conductor layer in each pixel layer. 3. The device of claim 2 wherein the LEDs in each pixel are electrically connected in parallel by the first transparent conductor layer and a second transparent conductor layer sandwiching the LEDs in each pixel. 4. The device of claim 3 wherein each pixel contains a random number of LEDs as a result of printing the LEDs using the LED ink. 5. The device of claim 3 wherein the pixels in each pixel layer form a 2-dimensional matrix of pixels. 6. The device of claim 1 wherein the pixels include red, green, and blue pixels. 7. The device of claim 1 wherein the pixel layers have surfaces with a first index of refraction, the device further comprising spacer layers between the pixel layers that have surfaces with a second index of refraction substantially equal to the first index of refraction to reduce reflections. 8. The device of claim 1 wherein the pixel layers comprise first pixel layers that emit blue light, second pixel layers that emit green light, and third pixel layers that emit red light. 9. The device of claim 1 wherein each pixel layer comprises first pixels that emit blue light, second pixels that emit green light, and third pixels that emit red light. 10. The device of claim 1 wherein the pixels include red, green, and blue pixels, wherein the green pixels employ a first wavelength converting material that converts blue LED light to green light, and wherein the red pixels employ a second wavelength converting material that converts blue LED light to red light. 11. The device of claim 1 further comprising opaque walls around each pixel to reduce lateral cross-talk between pixels. 12. The device of claim 1 wherein pixels in adjacent pixel layers are offset from one another. 13. The device of claim 1 wherein the controller blocks pixels from being energized that are behind one or more energized pixels. 14. The device of claim 1 wherein the controller energizes the pixels to create a dynamically changing image. 15. The device of claim 1 wherein the controller energizes the pixels to create a static image. 16. The device of claim 1 wherein the controller comprises a permanent interconnection between selected pixels and a power source to display a fixed image. 17. The device of claim 1 further comprising row and column address lines electrically coupled to the pixels to activate selected pixels. 18. The device of claim 1 wherein the stacked pixel layers form a rectangular prism. 19. The device of claim 1 wherein the stacked pixel layers form a cube. 20. A display device comprising: a first pixel layer comprising light emitting diodes (LEDs) grouped into addressable pixels, the first pixel layer being folded to create a plurality of first angled segments and a plurality of second angled segments, where the first angled segments are primarily viewable by a viewer's left eye, and where the second angled segments are primarily viewable by a viewer's right eye, wherein LEDs in the first angled segments and the second angled segments are energized to achieve a 3-D stereoscopic effect when viewed by the viewer's left and right eyes simultaneously. 21. The device of claim 20 wherein the first pixel layer is molded to be folded. 22. The device of claim 20 wherein there are multiple LEDs in each pixel, wherein the LEDs are microscopic and printed as an LED ink, and wherein the LEDs in each pixel are electrically connected in parallel by sandwiching the LEDs in each pixel between two conductor layers. 23. The device of claim 22 wherein each pixel contains a random number of LEDs as a result of printing the LEDs using the LED ink. 24. The device of claim 20 wherein the pixels in the first pixel layer form a 2-dimensional matrix of pixels. 25. The device of claim 20 wherein the first angled segments have a plurality of different depths, and wherein the second angled segments have a plurality of different depths such that depth of a displayed image is conveyed by both the 3-D stereoscopic effect and a plurality of physical depths of the first angled segments and the second angled segments. 26. The device of claim 25 wherein the first pixel layer is transparent, the device further comprising at least a transparent second pixel layer underlying the first pixel layer, and a third pixel layer underlying the second pixel layer, the second pixel layer and the third pixel layer having associated angled segments vertically aligned with the first angled segments and the second angled segments to add physical depth to a displayed image. 27. The device of claim 20 wherein the plurality of first angled segments and the plurality of second angled segments form angled walls of cells in the pixel layer. 28. The device of claim 27 wherein the cells are concave. 29. The device of claim 27 wherein the cells are convex."," BACKGROUND The present assignee has previously invented a flat light sheet formed by printing microscopic vertical LED dice over a conductor layer on a flexible substrate to electrically contact the LED's bottom electrodes, then printing a thin dielectric layer over the conductor layer which exposes the LED's top electrodes, then printing another conductor layer to contact the LED's top electrodes. The LEDs may be printed to have a large percentage of the LEDs with the same orientation so the light sheet may be driven with a DC voltage, or the LEDs may be printed so that approximately one-half of the LEDs have one orientation and the other half has the opposite orientation so an AC signal can drive all the LEDs. In either case, a large number of the LED dice are connected in parallel. By using a transparent film as the substrate and making either or both of the conductor layers transparent, light may exit through either surface or both surfaces simultaneously. If the LEDs are GaN-based and emit blue light, a phosphor layer may be deposited over the LEDs to cause the emission to be any color. The light sheets may be formed to have a thickness less than 100 microns. Since the printed LEDs are microscopic and dispersed, and the conductors and substrate are transparent, the resulting light sheets are substantially transparent. Further detail of forming a light source by printing microscopic vertical LEDs, and controlling their orientation on a substrate, can be found in US application publication US 2012/0164796, titled, Method of Manufacturing a Printable Composition of Liquid or Gel Suspension of Diodes, assigned to the present assignee and incorporated herein by reference. The assignee's light sheet is ideally suited for providing general illumination or backlighting. However, it is also desirable to adapt the assignee's light sheet technology to create addressable or static displays, including a display that can display 3-dimensional images."," SUMMARY A 3-D display is formed of stacked, transparent LED pixel layers with index-matched spacer layers between the pixel layers. The structure may form a cube or other shape. The LEDs are printed in addressable pixel locations on each 2-D pixel layer. Opaque cells may optically separate each pixel area to reduce lateral diffusion of light. Each pixel may have a diameter of, for example, 10-40 microns. By controlling the brightness of each pixel area in each of the pixel layers, a 3-D image may be generated. In one embodiment, the viewing window of the display is above the top light sheet, and the side walls of the structure are light absorbing. Image processing may be used to dynamically rotate the displayed image to allow viewing the image from all angles. The 3-D display may be used for a variety of purposes including as a tool to better understand actual or simulated structures. Any other 3-D scene or image may also be displayed. Line images generated by CAD systems are especially suitable for display. The 3-D display may be monochrome or full color.","CROSS-REFERENCE TO RELATED APPLICATIONS This application claims priority from U.S. provisional application Ser. No. 62/115,508, filed Feb. 12, 2015, and 62/197,997, filed Jul. 28, 2015, assigned to the present assignee and incorporated herein by reference. FIELD OF THE INVENTION This invention relates to light emitting diode (LED) displays and, in particular, to a 3-dimensional LED display using stacked, transparent LED light sheets forming pixel layers. BACKGROUND The present assignee has previously invented a flat light sheet formed by printing microscopic vertical LED dice over a conductor layer on a flexible substrate to electrically contact the LED's bottom electrodes, then printing a thin dielectric layer over the conductor layer which exposes the LED's top electrodes, then printing another conductor layer to contact the LED's top electrodes. The LEDs may be printed to have a large percentage of the LEDs with the same orientation so the light sheet may be driven with a DC voltage, or the LEDs may be printed so that approximately one-half of the LEDs have one orientation and the other half has the opposite orientation so an AC signal can drive all the LEDs. In either case, a large number of the LED dice are connected in parallel. By using a transparent film as the substrate and making either or both of the conductor layers transparent, light may exit through either surface or both surfaces simultaneously. If the LEDs are GaN-based and emit blue light, a phosphor layer may be deposited over the LEDs to cause the emission to be any color. The light sheets may be formed to have a thickness less than 100 microns. Since the printed LEDs are microscopic and dispersed, and the conductors and substrate are transparent, the resulting light sheets are substantially transparent. Further detail of forming a light source by printing microscopic vertical LEDs, and controlling their orientation on a substrate, can be found in US application publication US 2012/0164796, titled, Method of Manufacturing a Printable Composition of Liquid or Gel Suspension of Diodes, assigned to the present assignee and incorporated herein by reference. The assignee's light sheet is ideally suited for providing general illumination or backlighting. However, it is also desirable to adapt the assignee's light sheet technology to create addressable or static displays, including a display that can display 3-dimensional images. SUMMARY A 3-D display is formed of stacked, transparent LED pixel layers with index-matched spacer layers between the pixel layers. The structure may form a cube or other shape. The LEDs are printed in addressable pixel locations on each 2-D pixel layer. Opaque cells may optically separate each pixel area to reduce lateral diffusion of light. Each pixel may have a diameter of, for example, 10-40 microns. By controlling the brightness of each pixel area in each of the pixel layers, a 3-D image may be generated. In one embodiment, the viewing window of the display is above the top light sheet, and the side walls of the structure are light absorbing. Image processing may be used to dynamically rotate the displayed image to allow viewing the image from all angles. The 3-D display may be used for a variety of purposes including as a tool to better understand actual or simulated structures. Any other 3-D scene or image may also be displayed. Line images generated by CAD systems are especially suitable for display. The 3-D display may be monochrome or full color. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a cross-section of a very small portion of a thin, transparent pixel layer showing one addressable pixel area, where light is only emitted in one direction. FIG. 2 is similar to FIG. 1 except the pixel layer is configured to emit light bi-directionally. FIG. 3 is a top down view of four addressable pixels on the pixel layer of FIG. 1 or 2. FIG. 4 illustrates how a single pixel may be composed of red, blue, and green subpixels, either formed using RGB LEDs or using phosphors. FIG. 5 is a cross-section of a pixel area formed using three laminated pixel layers, where each layer is formed using a different primary color LED. FIG. 6 is a top down view of a 6×6 array of addressable pixels in a single pixel layer. Each pixel may be surrounded by an opaque wall to prevent lateral dispersion of light (cross-pixel noise). Only LEDs in two pixels are shown. FIG. 7 is a perspective view of stacked, transparent pixel layers, forming a 3-D display, where the pixels in each layer are individually addressable using XY drive signals to control brightness levels. FIG. 8 is a cross-section of a portion of a 3-D display, where each pixel is formed by RGB LEDs in a set of overlapping pixel layers, where adjacent sets are spaced by an index-matched spacer layer. FIG. 9 is a top down view of a small portion of the top pixel layer in a 3-D display, showing pixels being addressed and emitting different brightness levels. FIG. 10 illustrates a 3-D image of a cube being viewed through the viewing window of the 3-D display. Any angle of the image may be displayed using appropriate processing. FIG. 11 illustrates a scene being viewed through the viewing window of the 3-D display. FIG. 12 illustrates how processing of the 3-D displayed image can cause the visual impression of convergence to a point toward the rear of the 3-D display to give the effect of greater depth. FIG. 13 illustrates how processing of the 3-D displayed image can cause the visual impression of divergence from a central point to give the impression of closeness. FIG. 14 is a perspective view of a 3-D display having three pixel layers, where areas of a pixel layer intended to be completely obscured by a more foreground image are not energized. FIG. 15A illustrates a 3-D display image of squares when viewed normal to the display surface, where each of three pixel layers displays an array of squares offset from the other pixel layers. FIG. 15B illustrates a 3-D display image of the squares of FIG. 15A when viewed at a first angle to the display surface, where the squares overlap somewhat. FIG. 15C illustrates a 3-D display image of the squares of FIG. 15A when viewed at a second angle to the display surface, where the squares overlap somewhat. FIG. 16 illustrates a single pixel layer, or laminated pixel layers, folded like an accordion to achieve a stereoscopic 3-D effect. FIG. 17 illustrates a single pixel layer, or laminated pixel layers, molded to have indented pyramidal cells with angled segments to achieve a 3-D effect. FIG. 18 illustrates a stepped (or cascaded) pixel layer for achieving a stereoscopic 3-D effect from different angled segments seen from the left and right eyes and for also providing a physical depth of the display to enhance the 3-D effect. FIG. 19 illustrates multiple overlapping cascaded pixel layers for further enhancing the 3-D effect. Elements that are similar or identical in the various figures are labeled with the same numeral. DETAILED DESCRIPTION FIG. 1 is a cross-section of a single pixel in a transparent pixel layer 10. The layer 10 may have a thickness between 1-10 mils (about 25-250 microns). The LEDs 12 in the pixel are energized by a suitable driving voltage applied to the electrodes 14 and 16. A light ray 18 emitted from one of the LEDs 12 is shown. In FIG. 1, a transparent starting substrate 20 may be polycarbonate, PET (polyester), PMMA, Mylar or other type of polymer sheet. The substrate 20 may be later removed to reduce light absorption. In one embodiment, the substrate 20 is about 25 microns thick. A transparent conductor layer 22 is then deposited over the substrate 20, such as by printing. A suitable transparent conductor layer 22 may be ITO or a sintered silver nano-wire layer. A monolayer of microscopic inorganic LEDs 12 is then printed over the conductor layer 22. The LEDs 12 are vertical LEDs and include standard semiconductor GaN layers, including an n-layer, and active layer, and a p-layer. GaN LEDs typically emit blue light. The LEDs 12, however, may be any type of LED emitting red, green, yellow, or other color light. The LEDs 12 are printed in a matrix of pixel locations. Such selective printing may be by screen printing (using a mask pattern), flexography, or other type of printing. If each pixel is to be surrounded by an opaque wall, such walls (cells) may be printed prior to the LEDs 12. The walls may instead be provided by a laminated layer or using other methods such as trenching and filling in the trenches with an opaque material. The cells may be square, hexagonal, circular, or any other shape. The GaN-based micro-LEDs used in embodiments of the present invention are less than a third the diameter of a human hair and less than a tenth as high, rendering them essentially invisible to the naked eye when the LEDs are sparsely spread across the substrate 20. This attribute permits construction of a nearly or partially transparent light-generating layer made with micro-LEDs. In one embodiment, the LEDs 12 have a diameter less than 25 microns and a height less than 10 microns. The number of micro-LED devices per unit area may be freely adjusted when applying the micro-LEDs to the substrate 20. Further detail of forming a light source by printing microscopic vertical LEDs, and controlling their orientation on a substrate, can be found in US application publication US 2012/0164796, entitled, Method of Manufacturing a Printable Composition of Liquid or Gel Suspension of Diodes, assigned to the present assignee and incorporated herein by reference. In one embodiment, an LED wafer, containing many thousands of vertical LEDs, is fabricated so that the top metal electrode 24 for each LED 12 is small to allow light to exit the top surface of the LEDs. The bottom metal electrode 26 is reflective (a mirror) and should have a reflectivity of over 90% for visible light. There is some side light, depending on the thickness of the LED. In the example, the anode electrode is on top and the cathode electrode is on the bottom. In other embodiments, the top electrode may cover the entire surface of the LED and is reflective, and light exits the bottom of the LED through the transparent conductor layer 22 and the transparent substrate 20. In another embodiment, the electrodes are formed so that light is emitted bi-directionally. The LEDs are completely formed on the wafer, including the anode and cathode metallizations, by using one or more carrier wafers during the processing and removing the growth substrate to gain access to both LED surfaces for metallization. The LED wafer is bonded to the carrier wafer using a dissolvable bonding adhesive. After the LEDs are formed on the wafer, trenches are photolithographically defined and etched in the front surface of the wafer around each LED, to a depth equal to the bottom electrode, so that each LED has a diameter of less than 25 microns and a thickness of about 4-8 microns, making them essentially invisible to the naked eye. A preferred shape of each LED is hexagonal. The trench etch exposes the underlying wafer bonding adhesive. The bonding adhesive is then dissolved in a solution to release the LEDs from the carrier wafer. Singulation may instead be performed by thinning the back surface of the wafer until the LEDs are singulated. The LEDs 12 of FIG. 1 result, depending on the metallization designs. The microscopic LEDs 12 are then uniformly infused in a solvent, including a viscosity-modifying polymer resin, to form an LED ink for printing, such as screen printing, or flexographic printing. The LEDs 12 may instead be formed using many other techniques and may be much larger or smaller. The lamps described herein may be constructed by techniques other than printing. The LED ink is then printed over the conductor layer 22. The orientation of the LEDs 12 can be controlled by providing a relatively tall top electrode 24 (e.g., the anode electrode), so that the top electrode 24 orients upward by taking the fluid path of least resistance through the solvent after printing. The anode and cathode surfaces may be opposite to those shown. The LED ink is heated (cured) to evaporate the solvent. After curing, the LEDs 12 remain attached to the underlying conductor layer 22 with a small amount of residual resin that was dissolved in the LED ink as a viscosity modifier. The adhesive properties of the resin and the decrease in volume of resin underneath the LEDs 12 during curing press the bottom cathode electrode 26 against the underlying conductor layer 22, creating a good electrical connection. Over 90% like orientation has been achieved, although satisfactory performance may be achieved with over 75% of the LEDs being in the same orientation. A dielectric layer 27 is then selectively printed over the conductor layer 22 to encapsulate the sides of the LEDs 12 and further secure them in position. The ink used in the dielectric layer 27 pulls back from the upper surface of the LEDs 12, or de-wets from the top of the LEDs 12, during curing to expose the top electrodes 24. If any dielectric remains over the LEDs 12, a blanket etch step may be performed to expose the top electrodes 24. Another transparent conductor layer 28 is then printed to contact the top electrodes 24. The conductor layer 28 may be ITO or may include silver nano-wires. The conductor layer 28 is cured, for example, by lamps to create good electrical contact to the electrodes 24. The LEDs 12 in the monolayer, within a defined pixel area, are connected in parallel by the conductor layers 22/28 since the LEDs 12 have the same orientation. Since the LEDs 12 are connected in parallel, the driving voltage must approximately equal the voltage drop of a single LED 12. Many other ways can be used to form the LEDs 12, and the LEDs 12 do not need to be microscopic or printed for the present invention to apply. A flexible, protective layer (not shown) may be printed over the transparent conductor layer 28. If wavelength conversion is desired, a phosphor layer may be printed over selected pixel areas. The pixel layer 10 may be any size and have any number of pixels, where the electrodes 14 and 16 form row and column conductors to address the pixel at the intersection of an energized row and column. The pixel layer 10 may even be a continuous sheet formed during a roll-to-roll process that is later stamped out. FIG. 2 is identical to FIG. 1 except the bottom LED electrode 30 is small to allow light to be emitted from both the top and bottom of each LED 12. Emitted light rays 18 and 32 are shown. Although the pixel layers are described as transparent, they are actually semi-transparent or substantially transparent due to some inherent light absorption of the various layers and the LEDs. Therefore, there is a practical limit to the number of pixel layers that can be stacked. The light absorption may be compensated for by operating the rear pixels to be progressively slightly brighter such that a target brightness is achieved at the front viewing window of the 3-D display. FIG. 3 is a top down view illustrating a small portion of the pixel layer of FIG. 1 or 2, showing four pixels 40. Many more pixels are included in a single pixel layer. Each pixel 40 has at least one LED 12 within it, and most likely has between 2-5 LEDs within it. For a monochromatic display, no phosphor is needed. If a color display is desired, and all the LEDs 12 are GaN types emitting blue light, some pixels may be covered with a red phosphor and other pixels covered with a green phosphor to create controllable RGB pixels to produce a wide gamut of colors. The LEDs 12 in each pixel 40 are sandwiched between two transparent conductor layers, as described with respect to FIG. 1. All LEDs in a column are printed over a column conductor strip (Y lines), and all LEDs in a row have their top electrodes contacted by a transparent row conductor strip (X lines). By selectively applying the anode voltage (e.g., 3-4 volts) and cathode voltage (e.g., ground) to the Y and X conductors, only the pixel at the intersection of energized conductors will be illuminated. The bottom left pixel is shown energized by the current I flowing through it. At high scanning speeds, animation may be displayed. The pixels 40 may have any pitch that is achievable using printing. Pitches less than 500 microns are achievable. If the current supplied to multiple pixels is the same, each energized pixel provides the same brightness whether the pixel contains one LED or five LEDs. Therefore, such current-controlled driving of pixels is ideally suited for a non-deterministic LED printing process. FIG. 4 illustrates how a single pixel 44 on a pixel layer can be formed of a red sub-pixel 46, a green sub-pixel 48, and a blue-sub-pixel 50, where the relative currents (IL 12, 13) to each sub-pixel define the overall color for that pixel. The LEDs 12 may be red, green, and blue LEDs. Alternatively, all the LEDs may be blue LEDs, and the red-sub-pixel 46 has a red phosphor printed over it, and the green-sub-pixel 48 has a green phosphor printed over it. The red, green, and blue sub-pixels may be laterally displaced, as shown in FIG. 3 or 4, or the red, green, and blue sub-pixels may be vertically displaced as shown in FIG. 5. In FIG. 5, three overlapping pixel layers are shown. Each layer outputs either red light, green light, or blue light using appropriate type printed microscopic LEDs 12. The pixel layers are either laminated together, or the red, green, and blue LEDs may be successively printed, with a transparent conductor layer therebetween. An opaque wall 52 surrounds each pixel area to prevent lateral light from creating noise in other pixels. The LEDs in each of the three layers are independently energized with a current to create the desired mixture of colors for the pixel. Any X-Y addressing technique may be used to energize a selected color LED in each pixel. The RGB light (rays 54, 56, and 58) blends very well and there will be statistically little or no overlap of LEDs due to the microscopic size of each LED and the random distribution of LEDs. Any statistically calculated overlap of LEDs, creating some light blockage, may be compensated for by adjusting the density of LEDs in each layer. FIG. 6 is a top down view of a single pixel layer having a 6×6 matrix of pixels. Each pixel 40 is addressable using X and Y signals, and each pixel 40 may include RGB subpixels. The grid may represent thin metal (or transparent conductor) row conductors 60 and thin metal (or transparent conductor) column conductors 62 that are connected to the transparent conductor layers 22/28 (FIG. 1) for each pixel 40. The metal may even be used to form opaque walls around each pixel 40. In one embodiment, the bottom transparent conductor layer for the LEDs is a continuous layer. A dielectric pattern is then printed over the conductor layer, such as with black ink, so that any LEDs printed on the dielectric pattern do not conduct. This dielectric pattern may be the grid shown in FIG. 6 that defines the pixels. In this way, all the LEDs that can be energized are confined to the pixel areas. The black ink may be thick to provide opaque walls between pixels to prevent lateral cross-talk between the pixels. FIG. 7 illustrates a 3-D display 64 formed using overlapping, transparent pixel layers 66-68, where LEDs 12 are illuminated along the X, Y, and Z directions like pixels. Although only two LEDs 12 per pixel layer are shown for simplicity, there may be thousands of addressable LED pixels in each pixel layer. An ordered array of individually addressable groups of LEDs (e.g., 2-3 LEDs per group) may be printed, where each group is a pixel. X and Y conductors may be connected to each group so that any pixel on a pixel layer can be individually addressed by providing the proper voltage across addressed X and Y conductors to illuminate the pixel at the intersection of the energized XY conductors. The brightness of any addressed pixel is controllable by controlling the current. The proper current level may be determined by a look-up table that cross-references a digital code with the current level. Controlling current levels using binary values is well known. The XY conductors may be formed by a transparent conductor. The pixels may be monochromatic, or RGB, or other colors. Each pixel layer 66-68 may be about 1 mil thick, so transparent spacer layers may be needed between the pixel layers 66-68 to cause the XY pixel pitch to be about the same as the Z pixel pitch. The spacer material should have the same index of refraction as the pixel layers 66-68 to minimize internal reflection. A controller 70 supplies different X and Y address signals to each pixel layer 66-68 in the stack to create a desired 3-D arrangement of illuminated pixels. The current for each pixel is precisely controlled to correspond to the target brightness of each pixel. A digital code corresponding to a brightness level of a particular pixel may be provided to the controller 70, which then supplies the target current to the appropriate pixel. The pixels in the transparent pixel layers 66-68 may emit light in a single direction or bidirectionally. The 3-D display 64 may be formed as a cube, with a viewing window over the top pixel layer 66. In one embodiment, the cube is about 4-6 inches per side, and non-portable displays may be made much larger. All sides except the viewing window may be covered with an opaque layer to prevent internal reflection. The 3-D image may be programmed by a user I/O interface 72. In one embodiment, the 3-D image is an object that has been created using a CAD application, and the 3-D image produced enables the user to better grasp the 3-D design. The 3-D image may also be from a 3-D camera or any other source. The 3-D image may be static or be animated. In one simple embodiment, each pixel layer 66-68 has about 10,000 addressable pixels (100×100), and there are 100 stacked pixel layers so there are 100 pixels in each of the X, Y, and Z directions. Any other size and resolution can be made using a printing process. In another embodiment, the controller 70 simply energizes a fixed group of the pixels for a permanent static display. In such an embodiment, the controller 70 may be a simple programmed interconnection of the pixels to a power source, where the connections to the power source are permanent. FIG. 8 illustrates a full color 3-D display 80, which may be formed as a cube. Each horizontal level of full-color pixels is formed by red, green, and blue pixels provided in separate and abutting pixel layers 82, 83, and 84, respectively. The red, green, and blue LEDs associated with a particular pixel location may be simultaneously energized by application of an appropriate current at the XY intersection of the pixel for each of the pixel layers. Each pixel layer is transparent. An index-matched spacer sheet 86 is shown, which may be the same material as the light sheet substrate (e.g., PET, PMMA, etc.). The 3-D image is viewable through the top and bottom surfaces of the cube, and the side surfaces may be coated with a light absorbing material. In one embodiment, the pixels in different pixel layers are slightly offset from each other in the X and Y directions to minimize the blockage of light and to reduce cross-talk between different pixel layers in the Z-direction. In another embodiment, concentric spheres of the light sheets may form pixel layers in a spherical 3-D display. In such a case, the 3-D image may be viewable from any angle. Concentric shells of the LED layers and other layers may printed or sprayed over a starting sphere substrate. All conductors may be terminated at one area of the sphere for the XYZ signals. Cylindrical 3-D displays are also practical, using circular pixel layers. FIG. 9 is another representation of the 3-D display where the top pixel layer forms a 2×5 array of pixels 40. Row and column conductors 90 and 91 are shown. A driver control address block 94 supplies a digital code to the controller 70 that identifies the brightness of selected pixels 40. The controller 70 then selects the appropriate current for the target pixel, and the column address block 96 and row address block 98 supply the current to the selected pixel 40. The pixels 40 receive the energizing current for a single frame time, and the display is then updated. Animation or still images may be displayed. A processor may supply the digital addresses and brightness levels in accordance with any suitable imaging/rendering routine. In one embodiment, effects, such as shading, may be applied via the processor. In the example of FIG. 9, the different brightness levels of the pixels 40 are represented by the different shadings. FIG. 10 illustrates a 3-D display cube 100 displaying a simple cube image 102 through the viewing window 104, where the cube image 102 may be suitably shaded and rotated using a programmed processor. FIG. 11 illustrates another 3-D image displayed by the cube 100 where different 2-D images are displayed on different pixel layers to create an overall scene. In the example, the 2-D images are a man, a tree, and a hill. The relative positions of the images change as the cube 100 is angled with respect to the viewer. Much more complex images are envisioned. The cube 100 pixels may be monochrome or full color. To reduce pixel cross-talk in the Z direction, the pixels directly behind a foreground image may be “driven” off (similar to masking). This technique also achieves the effect of the man in the foreground blocking the light from the images in the background. In another example, a topological map is displayed, and the different views are achieved by tilting the cube and/or controlling a processor to tilt the image. Monochromatic line images are particularly suitable for display, such as for CAD outlines of objects to be fabricated, or molecular structures for education, etc. The images generated may be dynamic, requiring dynamic addressing, or the images may be static, requiring no addressing after the initial programming of the pixels. FIG. 12 is a cross-section of a 3-D display cube 100 showing pixel layers 66, 67, and 68, and a viewer 104 looking into the cube 100. FIG. 12 illustrates how processing of the 3-D displayed image can cause the visual impression of convergence to a point 106 toward the rear of the cube 100 to give the effect of greater depth. FIG. 13 depicts the same cube 100 and illustrates how processing of the 3-D displayed image can cause the visual impression of divergence from a central point to give the impression of closeness. FIG. 14 illustrates the achievement of a 3-D effect, similar to the technique of FIG. 11, by stacking 2-D images in the Z direction, with a transparent spacer in-between. In the simplified example of FIG. 14, only three pixel layers are used for foreground, midground, and background, although any number of layers can be used to achieve the desired depth resolution. The displayed images in FIG. 14 are just depicted as opaque rectangles for simplicity. The viewer's left and right eyes 108 and 109 are depicted. In the example, the foreground pixel layer (the top pixel layer) has LED pixels energized to display four rectangles 110-113. The remaining pixels in the foreground pixel layer are off, so the underlying pixel layers are visible through the transparent substrate. The midground pixel layer (the middle pixel layer) has LED pixels energized to display four rectangles 114-117, where rectangles 114-117 have a central “obscured” zone corresponding to the area that would be covered by the rectangles 110-113 if a viewer viewed the display normal to the display surface. Since the LEDs are off in those obscured areas, the midground pixel layer does not distort the images in the foreground pixel layer. The remaining pixels in the midground pixel layer are off, so the underlying pixel layers are visible through the transparent substrate. Many more midground pixel layers for different depths can be employed. Similarly, the background pixel layer (the bottom pixel layer) has LED pixels energized to produce the displayed pattern 118 of four background rectangles, where obscured zones 120 (LEDs are off) correspond to the areas that would be covered by the images in the foreground and midground pixel layers if a viewer viewed the display normal to the display surface. Accordingly, the display provides physical depth for an image. The image itself may be processed to convey more depth. In all embodiments, the display may be hand held. Accelerometers (or other suitable sensors) in the display, and/or a camera in the display, may convey the orientation of a viewer's eyes relative to the display screen and adjust the displayed images accordingly to achieve a realistic 3-D effect. In the case of FIG. 14, the “obscured” portions of the midground and background images may be dynamically shifted and/or reduced in brightness by an image processor depending on the viewing angle so that the obscured portions are realistically depicted as the viewing angle is changed. The 3-D images represented by FIGS. 15A, 15B, and 15C are simply three layers (depths) of 2-D arrays of squares. The squares displayed (by energized LEDs) on the foreground, midground, and background pixel layers do not overlap when viewed normal to the display surface. Therefore, the squares 126 in the foreground pixel layer 128, the squares 130 in the midground pixel layer 132 (FIGS. 15B and 15C), and the squares 134 in the background pixel layer 136 are all completely visible when viewed normal to the display surface. Each pixel layer displays 12 squares that are offset from the squares in the other pixel layers. The energized LEDs in a foreground image (displaying squares) obscure squares behind the energized LEDs at the particular viewing angle. FIG. 15A depicts the image as viewed normal to the display surface, with each of the squares 126, 130, and 134 being visible and not obscured. FIG. 15B illustrates what the viewer would see if the display were tilted to the right, with portions of the squares 130 and 134 in the midground and background being obscured, and the different depths of the 2-D arrays of squares being perceived. Optionally, the image processor can detect the relative angle of the display and control the images so that there is minimum interference between the overlapping images. The images may be assigned transparency factors that determine the percentage of backlight that can be perceived through images in the foreground. The brightnesses of the midground and background images may then be controlled (from zero to maximum) to reflect the effect on the image being obscured by a foreground image. FIG. 15C illustrates what the viewer would see if the display were tilted to the left, with portions of the squares 130 and 134 in the midground and background being obscured, and the different depths of the 2-D arrays of squares being perceived. Instead of stacking layers of the transparent LED pixel sheets to form a 3-D display, one or more LED sheets can be folded to produce a 3-D stereoscopic image, as depicted in FIG. 16. It is known to provide a flat image formed of interdigitated vertical segments of left eye and right eye images and then direct the left eye image to the viewer's left eye and direct the right eye image to the viewer's right eye. This has been traditionally done with lenticular lenses or opaque barriers. The technique is sometimes referred to as autostereoscopy. Other techniques use two separated images, and the viewer views the images through a stereoscopic lens system. FIG. 16 depicts an alternative approach for conveying a 3-D image using a single sheet. This can only be achieved by a foldable display screen, such as the LED sheets described herein. The foldable LED sheet may be monochromatic, or may have red, green, and blue pixels, or may be three laminated LED sheets where each sheet has either red, green, or blue pixels. The different color pixels should be offset from one another. The LED sheet 150 shown FIG. 16 is folded like an accordion so that one-half of the angled segments 152 face the viewer's right eye 154 and the other half of the segments 156 face the viewer's left eye 158. A right eye image is displayed on the segments 152 and a left eye image is displayed on the segments 156 to form the 3-D image. The angle of the folds is optimized for a particular viewing distance. The folds may be obtained by molding the LED sheet 150 using heat and pressure. Although the folded LED sheet 150 presents 3-D image by its physical shape, the 3-D image can be further displayed by detecting the particular angle of the display with respect to the viewer and using an image processor to change the image accordingly to create a realistic 3-D image. This allows the displayed image to be dynamically changed as the viewer tilts the display left, right, up, or down. Accelerometers or a camera in the display may be used to detect the angle of the display. A support member may be used to retain the folds, such as a semi-rigid material deposited on the back of the LED sheet 150 after it is folded. The image may be a dynamic scene or be static. The segments 152/156 can be any width and height. FIG. 17 illustrates an LED sheet 160 that is molded to provide different views of an image when the display is tilted left, right, forward, and aft with respect to the viewer. In the example, the display is divided into pyramidal cells 162, where four different images are displayed on the four triangular segments in each cell. The pyramids do not have to have a square base. An image processor is programmed to display on each segment in each cell the appropriate image such that a 3-D image is perceived as the display is tilted left, right, forward, or aft with respect to the viewer. The display may even be rotated around 360 degrees while the image on each segment is dynamically changed to display a 3-D image. This effect achieved by the display of FIG. 17 may be referred to as a multi-scopic display. Other shapes of the cells 162 may be used instead of pyramids, such as rectangles, hexagons, etc. In another embodiment, rather than the cells 162 being concave portions of the LED sheet 160, the cells are convex to form positive pyramid shapes or other suitable shapes. In any of the embodiments, multiple transparent LED sheets, each emitting a different primary color, may be laminated together to effectively form a single full-color LED sheet. FIG. 18 illustrates a folded cascaded multi-scopic display. A narrow horizontal portion of a folded (molded) LED sheet 170 is shown. The LED sheet 170 may be a single layer or laminated layers to display desired colors. The portions of the LED sheet 170 that are facing to the right are primarily viewable by the viewer's right eye, and the portions of the LED sheet 170 that are facing to the left are primarily viewable by the viewer's left eye. The display is configured for a particular viewing distance. Different images are displayed by the two portions to create a stereoscopic 3-D effect. The segments 171-175, for the right eye, have different depths due to the physical depth of the LED sheet 170. So, in addition to the stereoscopic effect, the image has a physical depth, adding to the realism. An image processor may process the image to give the illusion of greater depth, as described with respect to FIGS. 12 and 13. Similarly, the segments 171 and 178-181 for the left eye are controlled in conjunction with the remaining segments to achieve the desired 3-D effect. Both eyes view the center foreground segment 171 equally. Any number of depth levels may be used, and the display can be any size. Each depth level may only be one or a few pixels wide. In another embodiment, the flat sections 171, 173, 175, 179, and 181 are not active (e.g., transparent or opaque) so only the angled segments contribute to the displayed image. FIG. 19 illustrates multiple spaced LED sheets 190, 192, and 194 that are cascaded for adding more physical depth to the 3-D display. Areas of an LED sheet that are not energized are transparent. Each LED sheet may display a different image for the foreground, midground, and background, as discussed with respect to FIGS. 11, 14, and 15, but each image also has its own 3-D effect by being displayed on a folded LED sheet. So, effectively, the 3-D display techniques of FIGS. 11, 14, 15, 16, and 18 are combined in FIG. 19. In one embodiment, the folded LED sheet(s) can be stretched so as to change the angles of the folds to be optimized for any viewing distance. Accordingly, various 3-D display techniques have been described that do not need any special lenses or glasses to achieve the 3-D effect. Such displays may be used for games, various effects, displaying CAD images for conceptualizing designs, advertising, or any other purpose. The display can be any size. For large size displays, the angles of the folds may be varied to account for the left and right eye viewing angles and viewing distance. Any aspects of the various embodiments may be combined. While particular embodiments of the present invention have been shown and described, it will be obvious to those skilled in the art that changes and modifications may be made without departing from this invention in its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as fall within the true spirit and scope of this invention.",G09G3003,G09G300,20160121,,20160818,68978.0 33,15011223,ACCEPTED,"SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR MANAGING A NETWORK BASED ON FEEDBACK","A system, method, and computer program product are provided for managing a network based on feedback according to one embodiment. In use, the method includes establishing a network, and connecting at least one device to the network. Additionally, the method includes receiving feedback from the at least one device. Lastly, the network is managed based on the feedback form the at least one device. Additional systems, methods, and computer program products are also presented.","1. A computer program product embodied on a non-transitory computer readable medium, comprising: code configured to establish a network; code configured to connect one or more devices to the network; code configured to receive feedback from the one or more devices; and code configured to manage the network based on the feedback from the one or more devices. 2. The computer program product of claim 1, wherein managing the network includes adjusting settings associated with the network and/or the connection of the one or more devices to the network. 3. The computer program product of claim 1, wherein the computer program product is physically integrated with an automobile. 4. The computer program product of claim 3, wherein one of the devices is a mobile device in proximity to the automobile. 5. The computer program product of claim 1, comprising: code configured to designate at least one of the one or more devices as a master device; and code configured to designate at least one of the one or more devices as a slave device, wherein the master device has control over the slave device. 6. The computer program product of claim 5, wherein the master device has control over at least a portion of the network. 7. The computer program product of claim 5, wherein managing the network includes re-designating at least one of the slave device as a second master device, and the master device as a second slave device. 8. The computer program product of claim 1, wherein the feedback includes information and/or commands corresponding to the one or more devices. 9. A method, comprising: establishing a network; connecting one or more devices to the network; receiving feedback from the one or more devices; and managing the network based on the feedback from the one or more devices. 10. The method of claim 9, wherein managing the network includes adjusting settings associated with the network and/or the connection of the one or more devices to the network. 11. The method of claim 9, wherein the method is implemented by a controller, the controller being physically integrated with an automobile. 12. The method of claim 11, wherein one of the devices is a mobile device in proximity to the automobile. 13. The method of claim 9, comprising: designating at least one of the one or more devices as a master device; and designating at least one of the one or more devices as a slave device, wherein the master device has control over the slave device. 14. The method of claim 13, wherein the master device has control over at least a portion of the network. 15. The method of claim 13, wherein managing the network includes re-designating at least one of the slave device as a second master device, and the master device as a second slave device. 16. The method of claim 9, wherein the feedback includes information and/or commands corresponding to the one or more devices. 17. A system comprising: a memory system; and a processing circuit coupled to the memory system and configured to: establish, by the processing circuit, a network; connect, by the processing circuit, one or more devices to the network; receive, by the processing circuit, feedback from the one or more devices; and manage, by the processing circuit, the network based on the feedback from the one or more devices, wherein managing the network includes adjusting settings associated with the network and/or the connection of the one or more devices to the network. 18. The system of claim 17, wherein the processing circuit is physically integrated with an automobile, wherein one of the devices is a mobile device in proximity to the automobile. 19. The system of claim 17, comprising: designating at least one of the one or more devices as a master device; and designating at least one of the one or more devices as a slave device, wherein the master device has control over the slave device, wherein the master device has control over at least a portion of the network, wherein managing the network includes re-designating at least one of the slave device as a second master device, and the master device as a second slave device. 20. The system of claim 17, wherein the feedback includes information and/or commands corresponding to the one or more devices."," BACKGROUND Network management of devices is commonly used. For example, a network system may include a permission list of permitted devices or prohibited devices. Additionally, a network may permit or exclude users. However, modern network systems lack the ability to dynamically change based on feedback from one or more device. There is thus a need for addressing these and/or other issues and voids associated with the prior art."," BRIEF DESCRIPTION OF THE DRAWINGS For a fuller understanding of the nature and advantages of the present invention, as well as the preferred mode of use, reference should be made to the following detailed description read in conjunction with the accompanying drawings. FIG. 1 illustrates a network architecture, in accordance with one possible embodiment. FIG. 2 illustrates an exemplary system, in accordance with one embodiment. FIG. 3 shows a method for managing a network system, in accordance with one embodiment. FIG. 4 shows a network architecture, in accordance with one possible embodiment. FIG. 5A shows user interface for managing a network system, in accordance with one embodiment. FIG. 5B shows user interface for managing a network system, in accordance with one embodiment. FIG. 6 shows multiple user interfaces for managing a network system, in accordance with one embodiment. FIG. 7 shows user interface for managing a network system, in accordance with one embodiment. FIG. 8 illustrates a vehicle communication system for distributing and directing a network system, in accordance with one possible embodiment. FIG. 9 shows a system for distributing and directing a network system, in accordance with one possible embodiment. FIG. 10 shows user interface for managing a network system, in accordance with one embodiment. FIG. 11A shows user interface for managing a network based off feedback from the one or more devices on the network, in accordance with one embodiment. FIG. 11B shows user interface for sending feedback to the one or more managers of the network, in accordance with one embodiment. FIG. 12 shows user interface for managing a network system, in accordance with one embodiment. FIG. 13 shows a network architecture, in accordance with one possible embodiment. FIG. 14 shows user interface for managing a network system, in accordance with one embodiment. FIG. 15 shows a method managing a network system, in accordance with one possible embodiment. FIG. 16 shows a network architecture, in accordance with one possible embodiment. detailed-description description=""Detailed Description"" end=""lead""?","FIELD OF THE INVENTION The present invention relates to managing a network, and more particularly, this invention relates to managing a network based on feedback from one or more devices. BACKGROUND Network management of devices is commonly used. For example, a network system may include a permission list of permitted devices or prohibited devices. Additionally, a network may permit or exclude users. However, modern network systems lack the ability to dynamically change based on feedback from one or more device. There is thus a need for addressing these and/or other issues and voids associated with the prior art. BRIEF DESCRIPTION OF THE DRAWINGS For a fuller understanding of the nature and advantages of the present invention, as well as the preferred mode of use, reference should be made to the following detailed description read in conjunction with the accompanying drawings. FIG. 1 illustrates a network architecture, in accordance with one possible embodiment. FIG. 2 illustrates an exemplary system, in accordance with one embodiment. FIG. 3 shows a method for managing a network system, in accordance with one embodiment. FIG. 4 shows a network architecture, in accordance with one possible embodiment. FIG. 5A shows user interface for managing a network system, in accordance with one embodiment. FIG. 5B shows user interface for managing a network system, in accordance with one embodiment. FIG. 6 shows multiple user interfaces for managing a network system, in accordance with one embodiment. FIG. 7 shows user interface for managing a network system, in accordance with one embodiment. FIG. 8 illustrates a vehicle communication system for distributing and directing a network system, in accordance with one possible embodiment. FIG. 9 shows a system for distributing and directing a network system, in accordance with one possible embodiment. FIG. 10 shows user interface for managing a network system, in accordance with one embodiment. FIG. 11A shows user interface for managing a network based off feedback from the one or more devices on the network, in accordance with one embodiment. FIG. 11B shows user interface for sending feedback to the one or more managers of the network, in accordance with one embodiment. FIG. 12 shows user interface for managing a network system, in accordance with one embodiment. FIG. 13 shows a network architecture, in accordance with one possible embodiment. FIG. 14 shows user interface for managing a network system, in accordance with one embodiment. FIG. 15 shows a method managing a network system, in accordance with one possible embodiment. FIG. 16 shows a network architecture, in accordance with one possible embodiment. DETAILED DESCRIPTION The following description is made for the purpose of illustrating the general principles of the present invention and is not meant to limit the inventive concepts claimed herein. Further, particular features described herein can be used in combination with other described features in each of the various possible combinations and permutations. Unless otherwise specifically defined herein, all terms are to be given their broadest possible interpretation including meanings implied from the specification as well as meanings understood by those skilled in the art and/or as defined in dictionaries, treatises, etc. It must also be noted that, as used in the specification and the appended claims, the singular forms “a,” “an” and “the” include plural referents unless otherwise specified. The following description discloses various preferred embodiments. It should be noted that the various examples presented herein are in no way intended to limit the invention, but rather have been presented to provide further description to various embodiments described and/or suggested herein. FIG. 1 illustrates a network architecture 100, in accordance with one possible embodiment. As shown, at least one network 102 is provided. In the context of the present network architecture 100, the network 102 may take any form including, but not limited to, a telecommunications network, a local area network (LAN), a wireless network, a wide area network (WAN) such as the Internet, peer-to-peer network, cable network, etc. While only one network is shown, it should be understood that two or more similar or different networks 102 may be provided. Coupled to the network 102 is a plurality of devices. For example, a server computer 104 and an end user computer 106 may be coupled to the network 102 for communication purposes. Such end user computer 106 may include a desktop computer, lap-top computer, and/or any other type of logic. Still yet, various other devices may be coupled to the network 102 including a personal digital assistant (PDA) device 108, a mobile phone device 110, a television 112, etc. FIG. 2 illustrates an exemplary system 200, in accordance with one embodiment. As an option, the system 200 may be implemented in the context of any of the devices of the network architecture 100 of FIG. 1. However, such network architecture 100 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the network architecture 100 presented herein may be used in any desired environment. As shown, a system 200 is provided including a processing circuit, referred to generally herein as a “processor” and intended to encompass any component or group of cooperating components that enable any of the embodiments herein. The processing circuit may include at least one central processor 201 which is connected to a communication bus 202. The system 200 also includes main memory 204 [e.g., random access memory (RAM), etc.]. The system 200 also includes a graphics processor 206 and a display 208. The system 200 may also include a secondary storage 210. The secondary storage 210 includes, for example, a hard disk drive and/or a removable storage drive, representing a floppy disk drive, a magnetic tape drive, a compact disk drive, etc. The removable storage drive reads from and/or writes to a removable storage unit in a well-known manner. Computer programs, or computer control logic algorithms, may be stored in the main memory 204 and/or the secondary storage 210. Such computer programs, when executed, enable the system 200 to perform various functions (to be set forth below, for example). Memory 204, storage 210 and/or any other storage are possible examples of computer-readable media. Now referring to FIG. 3, a flowchart of a method 300 for directing and managing a network system is shown according to one embodiment. The method 300 may be performed in accordance with the present invention in any of the environments depicted in FIGS. 1-2, among others, in various embodiments. Of course, more or less operations than those specifically described in FIG. 3 may be included in method 300, as would be understood by one of skill in the art upon reading the present descriptions. Each of the steps of the method 300 may be performed by any suitable component of the operating environment. For example, in various embodiments, the method 300 may be partially or entirely performed by a controller, a processor, etc., or some other device having one or more processors therein. The processor, e.g., processing circuit(s), chip(s), and/or module(s) implemented in hardware and/or software, and preferably having at least one hardware component may be utilized in any device to perform one or more steps of the method 300. Illustrative processors include, but are not limited to, a central processing unit (CPU), an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), etc., combinations thereof, or any other suitable computing device known in the art. Further, the aforementioned definitions may equally apply to the description below. As shown, a network system is established. See operation 302. Additionally, one or more devices may be connected to the network system. See operation 304. Feedback is preferably received from the one or more devices connected to the network system. Further, the network system may be directed and/or managed based off of feedback received from the one or more devices. In the context of the present description, feedback refers to information and/or commands received from and/or about devices. See operation 306. Although not shown in FIG. 3, method 300 may further include designating at least one of the devices as a master device, and designating at least one of the devices as a slave device. The master device preferably has control over the slave device. Moreover, the master device may have control over at least a portion of the network, e.g., as described in further detail below. In the context of the present description, a network system may refer to any system of devices connected to a network. In one embodiment, the network may be established using (e.g., through) a wired connection. For example, in various embodiments, the wired connection may include an Ethernet connection, a plug and play connection (e.g., USB, etc.), a proprietary connection (e.g., Apple iPhone, etc.), etc., and/or any other connection which includes a wired interface. In some approaches, managing the network may include adjusting settings associated with the network and/or the connection of the one or more devices to the network. For example, managing the network may include increasing or decreasing the number of devices connected to the network, designating a different device as a master device, demoting a master device to a slave device, re-designating at least one of the slave device as a second master device, etc., or any adjusting any other settings associated with the network and/or the connection of the one or more devices to the network. As alluded to above, method 300 may be implemented by a controller, a computer program product, processor, etc., depending on the desired embodiment. Moreover, in some approaches, the controller, computer program product, processor, etc. implementing method 300 may be physically integrated with a given environment. Moreover, the devices connected to the network established in method 300 may be in proximity to the given environment, e.g., close enough to the environment to connect to the network. According to different in-use embodiments which are in no way intended to limit the invention, the environment may be automobile, a room, a building, etc. Thus, in one embodiment, the component implementing method 300 (e.g., controller, computer program product, processor, etc.) may be physically integrated with an automobile. With reference to the present description, “physically integrated with” is intended to include any way of at least semi-permanently coupling the component to the automobile, e.g., using fasteners, adhesives, channels, rivets, welding, soldering, etc., in comparison to a component which is readily removable, e.g., such as a device coupled to a windshield using a suction-cup. However, in some approaches the component implementing method 300 may be readily removable from the automobile (e.g., environment), e.g., such that the component may be easily exchanged between automobiles, implemented in new (e.g., unexpected) environments, easily replaced, etc. In some embodiments, the network may be established using (e.g., through) a wireless connection. For example, in various embodiments the wireless connections may include a WiFi connection, a Bluetooth connection, data connections (e.g., 4G, 3G etc.), etc., and/or any other network connection which utilizes a wireless interface. Known components may be adapted for use in the various embodiments to provide aspects of the network connectivity. Further, in another embodiment, the network may be established by creating a connection between two or more devices. In the context of the present description, a device may include any physical entity which is capable of connecting to a network. For example, the first device requests (or receives a request) that a network be established with one or more devices which would enable those connected devices to communicate, share and access information, optimize data transfer and/or usage, etc. According to different approaches, this network may be wired or wireless. In still another embodiment, the network may be established through one or more existing network systems. For example, in one approach, a first device may broadcast a first local network system which can then be leveraged and shared with one or more other devices. More specifically, the first local network system may be setup initially through the first device to allow the first device to connect to resources (e.g., photos, music, etc.) located in one or more other devices. In a separate embodiment, a network may be established which could deny users within the network access to external resources/networks (e.g., WiFi connections, data connections etc.). In the context of the present description, external resources refer to any source or supply asset outside of the network system which may be utilized by the network and/or one or more devices on the network. For example, in various embodiments, if one or more devices create a network, that network may be comprised such that any device on the network could communicate and share information with one or more other devices on the network but may not access available external resources (e.g., no transfer of information outside of the network). Additionally, in one embodiment, the network may be established automatically. For example, in various embodiments, if one or more compatible devices are detected within range (e.g., predetermined range or maximum range of primary device/one or more devices on the network, etc.) a network of flexible design/interface will automatically be created such that automatic adaptations may be made to enhance the capacity of the network (e.g., connectivity of the devices, paths of communication, modes of data/information transfer etc.). More specifically, in various embodiments, the network may establish optimum speed and connectivity adaptable to the abilities of the devices connected. In another embodiment, the network may be established manually. For example, in one embodiment, a master device may control how and/or when one or more devices are connected to the network and to each other based on the input of a user controlling the master device, and/or by some hierarchy associated with one or more master devices. For example, in various embodiments, if one or more devices on the network desired to connect it may require the compliance (e.g., approval, white-list permission, etc.) of the master device user. Further, the interface of the system may be adapted manually to optimize usage. For example, optimizing usage may be manually controlled by the master device as it may control and/or limit the number of users on the network at any given time. In addition, the master device may alter or manage the usage and/or connection to external networks. Still yet, in another embodiment, the network may be manually controlled by an individual device. For example, in one embodiment, all devices may be independent on the network (i.e. not otherwise associated with each other, etc.) yet may require manual control in order to change or adapt the connectivity, interface, and/or compatibility of the connected devices. Additionally, in a further embodiment, in order to change or adapt the connectivity, interface, or computability, a set threshold of devices preferably give permission (or a global master device) to the seeking device in order to effect the change. In one embodiment, the network may be established permanently. For example, a device (e.g., a car, stationary computer, train, etc.) may constantly have a network interface available for one or more devices to enter. This type of device may be permanently installed as an integrated part of a larger system (e.g., the entertainment system of a car, etc.) or be a stand-alone/additional feature. Further, in one embodiment, the network may be created between devices (e.g., not all directly connected to the main device) in order to assure optimum usability. Additionally, in one embodiment, the ability to connect to the network may be manually controlled by one or more master devices. For example, in one embodiment, if one or more devices attempts to connect to the network, admittance may be required by manual consent of the user controlling the master device. Further, in various embodiments, consent to connect may be programmed into the network and/or master device and thus granted automatically by the system upon request from the user of one or more devices. Further, in one embodiment, the connectivity of one or more devices may occur manually. For example, if one or more devices wishes to connect to the network, access may be granted manually by one or more users already connected to the network. Further, this may occur each time a device attempts to connect to the network, after a device has been disconnected from the network for an amount of time, after a device has attempted to connect to the network a certain number of times, etc. Additionally, in another embodiment, the ability to connect to the network may require approval any time one or more devices wish to connect regardless of previous attempts or successes. For example, in one embodiment if one or more devices have never connected to the network, approval for access may be required. Further, in another embodiment, if one or more devices request to connect to the network and have previously connected, approval (e.g., consent) may still be required. Yet, in another embodiment, the connection of one or more devices may occur manually only the first time the device connects to the network, and thereafter the one or more devices may be granted access without reserve. For example, if one or more devices have previously been granted access to, and have connected to the network system, a request to regain access may be granted without consent required from the master device(s) or another user on the network at any time after the original attempt to connect to the network. In various embodiments, in order for to connect to or within the network it may require a request to be sent to a user on the network and approval given from that user. For example, in various embodiments, if one or more devices encounters the network a request may be sent in order to be granted access to the network. In addition, in another embodiment, if a user already within the network desires to make a connection with one or more other users already within the network, a request may be sent for connection and in order for the connection to be made the users may be required to grant the request. In the context of the present description, a user refers to a third party who is currently connected to or has in the past been connected to the network system. Further, in one embodiment, the connection of one or more devices may occur automatically. For example, if one or more devices are detected within range of the network a connection may be automatically established and adapted in order to allow access for that device as well as optimize the usability of the network. Additionally, in another embodiment, the network may seek out others to connect to the network. For example, in various embodiments, the network may search for one or more devices within range of the network and send a request to connect. Further, the connection may occur only with permission of the device or it may occur automatically without consent. In the context of the present description, the term ‘seek out’ refers to the ability of the network and/or users on the network to recognize devices within range which are not currently connected to the network system. In various embodiments, the connection or disconnection of devices may occur based on optimization of the network. For example, in one embodiment, the network may be established such that one or more devices may connect only if they will contribute to enhancing the network due to personal resources (e.g., other network connections [e.g., data, WiFi, etc.], information, processing ability etc.). Further, in one embodiment, one or more devices may be allowed to remain on the network so long as they continue to contribute to the network. Still yet, in one embodiment, the master device may control the connection of one or more devices to the network. For example, in various embodiments, if one or more devices sends a request to connect to the network it may be approved or denied by the master device(s). Further, in another embodiment, the connection of one or more devices may not be affected by the master device. For example, in various embodiments, the master device may set up the network and may adapt it as needed but may not control the connection of one or more devices. Additionally, in another embodiment, the network may only allow specific devices to connect. For example, in various embodiments the network may be established such that only specific devices (e.g., a predetermined/complied list of devices) have access to the network and may connect. This connection may occur manually or automatically according to any of the approaches described herein. In a separate embodiment, there may be a predetermined number of devices that may connect to the network. For example, in various embodiments upon establishing the network, or at any time during functionality, a limit may be set on the number of devices that constitute the network. The limit may be predetermined, set by a user, calculated in real time, etc., depending on the types of devices connecting to the network, the type of network, user preference, available power levels, signal strength, connection types, etc. Further, the network may allow that number to change based on input from the master devices or one or more other devices authorized to make changes to the network. According to other approaches, the number of devices that may connect to the network may vary depending on characteristics of the network. For example, the number of devices that may connect to the network may be increased in response to detecting a high number of connection requests received, e.g., by a master device. According to another approach, the number of devices that may connect to the network may be reduced in response to determining that the amount of processing power available is below a threshold. In still other approaches, the number of devices that may connect to the network may vary depending on the types of devices requesting the connection, user preference, the performance of background operations, available power levels, signal strength, connection type, etc. In various embodiments, there may be no limit to the number of devices allowed on the network. For example, in one embodiment, at any time one or more devices may connect to the network thus facilitating the range and functionality of the network. Further, the network may be established such that no limit of devices may ever be placed upon the network. Further, some embodiments, one or more devices on the network may connect to other networks/devices in order to increase the speed and efficiency of the network. For example, a device on the network (e.g., a computer, car, etc.) may connect to an outside resource (e.g., Internet, 4G, Ethernet, etc.), thus facilitating the functionality of the network. Further, this may occur automatically and/or manually by one or more devices associated with the network. In another embodiment, the network may be managed by a master device. For example, in one embodiment the device which initiates the network may be designated as the master device. Moreover, all other devices associated with the network may be designated as slave devices, e.g., having limited access and/or capability within the network system. Further, the master device may have the ability to manipulate or alter the network and/or connections of one or more devices (e.g., slave devices), e.g., depending on the desired embodiment. Additionally, another embodiment may include multiple master devices. For example, if one or more master devices are desired, e.g., in order in increase the efficiency of the network, the network may allow for more than one master device to be present in the network. This may be implemented, for example, by granting the abilities of a master device to another device, e.g., which may have previously been a slave device. In some embodiments, the one or more master devices may change between one or more devices in the network. For example, it may be established that the device with the greatest available external resources is the master device. Thus as one or more devices connect to the network the master device may change as a result of new users on the network system having greater available external resources than the existing master device. Further, the master device may allocate, either partially and/or entirely, the master device capabilities to one or more other devices. In yet another embodiment, the number of master devices may increase to support the increasing number of devices on the network. For example, as one or more devices are connected to the network additional devices with master devices capacities may be desired. Further, this allotment of additional master devices may be performed automatically by the network and/or manually by one or more of the current master devices. In some embodiments, the slave devices may have one or more limitations. For example, when a device connects to a network having a master-slave relationship implemented therewith, the new device may or may not have the capability to alter the network in one or more specific manners (e.g., allowing and/or denying access to other users, manipulating resource availability between devices, etc.). Thus, some slave devices may be restricted from performing certain processes, e.g., based on the slave devices' abilities and/or limitations. In another embodiment, a hierarchy may exist among one or more of the devices. In one approach, if a master device allocates master device functions to one or more devices, then the master device which allocated the functions may be able to remove the granted abilities (e.g., status) from the newly allocated master devices, but not vice versa. In other approaches, the newly allocated master devices may require consent from the original master device in order to alter the network in certain ways (e.g., to abolish the network, allocation of master capabilities to other devices, etc.). Additionally, in one embodiment, the connection of one or more devices may be limited to a specified amount of time. For example, in one embodiment one or more devices may connect to the network, but after a given amount of time, one or more of the devices may automatically be denied continued access to the network and its resources. These one or more devices may not be able to reconnect to the network without consent of one or more other devices having continued access to the network. In some approaches, a device assigned as a master device may be exempt from such network timeout provisions. Thus, a master device may only be denied continued access to the network and its resources resulting from a user request, being demoted to a slave device, upon meeting a different condition, etc. In some embodiments, the unused resources of each device on the network may be put to use (e.g., used), either directly or indirectly, by other devices on the network. For example, if one or more devices on the network have resources available (e.g., Internet, data connection, etc.) that are either fully or partially unused, they may be requested for use by one or more other devices on the network. Further, in a separate embodiment if one or more devices demand full usage of its outside resources it may take preference over the one or more other devices requests to utilize the resource. Still yet, in one embodiment, the network may be invisible from devices not connected. In the context of the present description, the term ‘invisible’ refers to a state where the network cannot be detected (either automatically or manually) by one or more devices outside the network. For example, if one or more devices search for a network in order to make a connection, the network would not be found. Thus, in order to connect one or more devices to the network a device already connected may have to find one or more new devices (e.g., devices not already part of the established network) within range and allow then to connect. In the context of the present description, the term ‘find’ refers to the ability of the network and/or users on the network to recognize devices within range which are not currently connected to the network system. In another embodiment, the network may always be visible to all devices within range. For example, in various embodiments if one or more devices are within range of the network they may automatically connect and/or request admittance to the network. In the context of the present description, the term ‘visible’ refers to a state where the network can be detected (either automatically or manually) by one or more devices outside the network. Further, in another embodiment the network may be visible only to one or more selected devices. According to some approaches, upon creation (or anytime thereafter) the network may be constructed such that only specifically designated devices may find and connect to the network. According to an example, which is in no way intended to limit the invention, a device may be specifically designed such that it is tuned to detect signals at a specific frequency, e.g., which the network may use to connect to the device. Further, the list of devices may or may not be modified by one or more devices already connected to the network. In addition, in various embodiments, the network may change from visible to invisible. Thus, if at any time the master device, creator of the network, permitted devices on the network, etc., desire to change the visibility function of the network it may be allotted. According to an example, it may be desirable that a network is changed to invisible for a period of time in response to determining that a maximum number of devices are connected to the network. This may alleviate the network from receiving continued connection requests from other devices attempting to connect to the network. Moreover, the network may be changed back to visible in response to one or more devices disconnecting from the network, thereby creating space for other devices to connect to the network. Still yet, in one embodiment, the network may periodically self-analyze in order to reach optimum efficiency. In the context of the present description, the term ‘self-analyze’ preferably refers to the ability of the network system to evaluate some or all aspects of the system (e.g., either manually or automatically). In some approaches, the network may search to find one or more devices with resources that would benefit the network. Further, in other approaches, the network may establish, abolish, and/or modify connections between devices and/or the relationship between one or more devices and the network to increase speed, efficiency, etc. Still further, in some approaches the network may alter the number of devices on the network and/or devices which have master capabilities, e.g., as alluded to above. In the context of the present description, media content may refer to any content which can be displayed. According to various approaches, photos (e.g., JPEG, TIFF, BMP, etc.), music (e.g., .mp3, radio stations, etc.), video files (e.g., .mp4, .avi, .mov, DVD, Blueray disks, etc.), etc., may be displayed. In other embodiments, media content may include interactive content. For example, a game may be played on one device with one or more players, or may be played on a plurality of devices with one or more players. As such, media content may refer to any type of content which may be displayed on a device. In some embodiments, the data may not be stored on the device (e.g., it may be stored in a cloud based storage network, on another device, on external/network drive, etc.). In such an embodiment, the processing may occur at least in part on the processing device. For example, the processing device may access the data and process it in parts. In some approaches, the processing may occur in set partitions, or sections, based off of a storage amount (e.g., every 100 mb, etc.), time (e.g., every 25 min, etc.), etc., and/or any other feature. The processing device may process each set section and distribute the processed section to other devices (e.g., distribute device specific and optimized format, distribute package of optimized formats, etc.). Further, in other embodiments, when the media content is not stored on the media device, the processing may occur on more than one device. For example, any device capable of processing the media content may optimize the content for the device. The media content file and/or data therefore may be accessed simultaneously by more than one device, processed by one or more of the devices, and then displayed on each device in an optimized manner. In the context of the present description, processing the media content may include rendering the media content in an optimized manner for the device display. In this manner, media content may be retrieved by one or more devices. Additionally, media content may be streamed from a remote source to one or more devices, streamed from a local source (e.g., device, etc.) to one or more devices, streamed from any other location to a device and/or display, etc. In another embodiment, if media is being processed by individual devices, then part of the source media content file may be saved temporarily on the device. For example, a device buffer may be used to store part of the source media content, prior to the processing and/or displaying of the media content. In a further embodiment, the device may be used to display the media content. According to one approach, the device may be incapable of processing the media content. For example, the device may function simply as a screen and/or display, the device may not be able to render and/or optimize media content, etc. Accordingly, the device may function solely to display media content, e.g., as received from another device from where the data may be streamed. In another embodiment, the device may be capable of processing the media content, but may only be used to display media content, e.g., as received from another device from where the data may be streamed. In this manner, media content may be displayed on one or more devices. It should be noted that a “distribution channel” as used herein may refer to any network and/or device which is used to display the media content. For example, a distribution channel network may include a wireless system (e.g., WLAN, WiFi, WiFi direct, Bluetooth, etc.), a wired system (e.g., LAN, Ethernet, dock connector, etc.), etc., and/or any other network system which may be used to stream media content. In an illustrative approach, a distribution channel device may include any device which may be used to display the media content. As such, a distribution channel may refer to the protocol used to transmit the media content, and/or may refer to the endpoint where the media content is displayed. According to an in-use embodiment which is in no way intended to limit the invention, a user may select the media content to be streamed. The user may then select a network to stream the media content with. Other devices on the network may then select to view the media content. In another embodiment, a user may select the media content to be streamed, and then select individual devices (e.g., the endpoints) to which the media content should be streamed. In yet another embodiment, the user may select the media content to be streamed, select the network to stream the content with, and then select the individual devices on the network to which the media content should be streamed. In one embodiment, the media content may be pushed from a master device to one or more other devices (e.g., slave devices, etc.). According to some approaches, devices may be arranged via a hierarchy of permissions and/or settings, including, for example, detecting whether devices have been previously detected and/or categorized, detecting whether the devices belong to preconfigured user (e.g., if a user is parent or child, permissions may be automatically applied, etc.), detecting metadata associated with the device (e.g., a device ID, an active user, etc.), and/or detecting any other information which may influence whether the device should be classified as a master or slave device. If it was unknown whether devices have been previously detected and/or categorized, the device may assume a slave relationship unless changed by an administrator of the network, etc. Additionally, in various embodiments, the allocation of hierarchal relationships may be determined and applied automatically. For example, the network system may be preconfigured to always designate user X1 as the master over any other device in NETWORK1. In various embodiments, the network system may be configured based off of a protocol and/or connection (e.g., WiFi, Bluetooth, WiFi Direct, cable connection, etc.). According to one approach, if X1 is predesignated as the master over NETWORK1, then no other device and/or user may function as a master in NETWORK1. Thus, if a non X1 device is detected in the network, it may be automatically designated as a slave device to a master X1 device on the network. However, in another approach, if a non X1 device is detected in the network, a user interface may be presented on the master X1 device requesting whether the non X1 device should be added in a slave relationship to the master X1 device. According to yet another approach, if a non X1 device is detected in the network, a user interface may be presented on the non X1 device, requested permission to be controlled by the master X1 device. Limits may be placed on the control by the master X1 device. For example, the master X1 device may have permission to display media content on the non X1 device. However, the master X1 device may be prevented and/or limited from accessing content on the non X1 device, from altering information on the non X1 device, and/or using the non X1 device in any other manner. Of course, in other embodiments, the non X1 device may be configured such that the master X1 device may have greater permissions and/or control of non X1 devices, including detecting and fetching media content from one or more non X1 devices, processing such media content, streaming such media content to one or more other devices (e.g., or displays, etc.), and/or using the non X1 devices in any other desired manner. In one embodiment, if the detected non X1 device is identified for a first time, setup (e.g., pairing process, network identification, etc.) may include establishing permissions on the network (e.g., the ability of the master device to control and/or access content on the slave device, etc.). In subsequent pairings, the network may remember prior pairings to facilitate identification and/or distribution of content. In another embodiment, a set of given permissions may have been associated with device X1. At a later point in time, a master device may request permission for additional functionality from a slave device (e.g., the ability to access content, etc.). In another approach, the slave device may grant extended access to the master device (e.g., allow access to files/folders, increase permission level for the associated master device, etc.). In yet another approach, the slave device may push content to the master device. In such a situation, the content received may first be sent as a request to the master device. Moreover, the content from the slave device may be pushed to the master device in response to determining that the request has been granted (e.g., based off of automatic settings, based off of user input, etc.). In one embodiment, pushing content from a slave device to a master device may include transferring media content from a slave device to a master device. Upon receiving the transferred media content, the master device may then process and further distribute the media content. In other embodiments, the master device may grant permissions (e.g., temporary permissions, permanent permissions, conditional permissions, etc.) which allow the slave device to function in such a manner that the slave device is able to distribute the media content to other devices and/or displays. Accordingly, the master device may control which one or more slave devices have permission to direct and distribute the media content. In another embodiment, if the slave device has permission to distribute the media content, the slave device may process and then distribute the media content, distribute a package of optimized media content formats, and/or distribute the media content in any other manner, e.g., thereby performing like the master device. In some approaches, the slave device may include media content stored in places other than on the slave device, e.g., in a cloud storage network, on an external drive, etc. Accordingly, the slave device may distribute the media content to other devices, preferably in a manner consistent with how the master device would distribute the content, e.g., by processing the media content and then distributing it, have each device process the content individually, etc. Thus, in some approaches the slave device may function as a pseudo-master device, with enhanced permissions, while still under the control of the master device. As mentioned above, more than one master device may exist in some embodiments. For example, a mobile device may be associated with the master user and predesignated as a master device. Moreover, a car infotainment system may be predesignated as a master device. Thus, in some approaches, both the car infotainment system and the mobile device may both function as master devices, and may include an equal ability to control all slave devices, ability to control the distribution of media content, and/or any other control of the system. In one embodiment, if more than one device is designated as a master device, a hierarchy of master devices may additionally be specified, e.g., by a user. For example, in the case where two mobile devices and a car infotainment system have been designated as master devices, the car infotainment system may be designated as the first master, and the other master devices may be designated below (lower in terms of the hierarchy than) the infotainment device. In other embodiments, the master device may be temporarily revoked and/or suspended. According to an example, if media content is being controlled by one device (e.g., the setup and/or distribution is being performed using one master device, etc.), then other master devices may be disabled from controlling the media content until the processing master device has finished displaying the media content, the master device has transferred control of the media content to another master device designated by the processing master device (e.g., or to any other device), etc. According to another embodiment, the hierarchy of master devices may be based on the time at which the master device is registered on a network. For example, a set location may have a designated network (e.g., a wired system, a wireless system, etc.) to which devices and displays may be connected. As master devices log onto the network, the order in which the master devices have logged onto the network may be tracked and used to indicate the master hierarchy. In other words, the first registered master device will be designated as the control master (the highest master device in the master hierarchy), while subsequently registered master devices will be designated lower in the hierarchy accordingly. In a further embodiment, the hierarchy of master devices may be based on device-to-device integration. For example, a device may be designated as a central device. As mentioned above, as master devices log onto the network, the order in which the master devices have logged onto the network may be tracked and used to indicate the master hierarchy. In some approaches, the central device may be designated as a master device. In other approaches the central device may be designated as a slave device. In some embodiments, the permissions granted to slave devices may be based, at least in part, on context. According to one approach, the context may depend on the content type, e.g., the type of data being acted upon, such as video, photos, music, games, etc. For example, a slave device may have permission to stream video content to other devices and/or displays. However, the same slave device may not have permission to stream game content and/or other interactive material, e.g., to other devices and/or displays. According to other approaches, the context may be dependent on geographic information (e.g., slave device has increased permissions based on geography bounds, etc.), time related information (e.g., after being connected for xx minutes permissions are increased, etc.), frequency related information (e.g., after being connected xx number of times permissions are increased, etc.), a user type (e.g., adult, child, student, teacher, etc.), a group (e.g., marketing, advertising, managerial, etc.), a position (e.g., manager, administrator, etc.), etc., and/or any other form of data which may be used to determine the context. In some embodiments, the master device may remain a master device. For example, a device previously designated as a master device may remain a master device for future interactions, e.g., until provided otherwise. However, in other embodiments, a central device may continuously assign relevant permissions to the same device. In other embodiments, the status of a master device may not be constant. For example, a central hub may determine which device is designated as a master device based off of context, e.g., such as granting master control to the device connected to a network which is associated with the highest ranking individual. As such, the designation of master device may change based on which devices and/or users are connected to the network system (e.g., wired network, wireless network, device-to-device integration, central device integration, etc.). As an example, a physical room may have multiple displays for displaying presentations. As employees connect to a network associated with the room and work in the room, the employee with the highest designated position may be grated control of the slave devices in the room (e.g., the displays, etc.). In some embodiments, other devices in the room (e.g., personal mobile devices associated with other individuals, etc.) may be designated as slave devices. For example, users may accept slave designation while they are located in the room and/or are connected to the network. However, if the president of the company enters the room, the control of the displays and/or of the slave devices may be automatically transferred to a device associated with the president which is also connected to the network. In other embodiments, the transfer may be manual, or may require some user interaction (e.g., displaying on the president's mobile device “Would you like to control this room?”). In this manner, which one or more of the devices which are designated as a master device may change based on the context of the participants and/or the devices connected to a given network. In another example, a car may have multiple displays and interactive devices. In some embodiments, at least some of these devices may be permanently integrated into the car system (e.g., incorporated into seat-backs, integrated into car deck, etc.). In other embodiments, at least some of these devices may be mobile (e.g., may be removed from the car, etc.). In such a situation, a child may be using a tablet and, based on the designation of being a child user, the tablet may be designated as being a slave device. However, if the tablet is passed to another user (e.g., a parent, an administrator, etc.), the user may login with credentials and the device may be designated as a master device, thereby automatically switching the functionality of the tablet to that of a master device. In this manner, which device is designated as a master device may be based off of the user controlling the device. In other embodiments, at least some elements and/or devices may remain constant while other devices and/or designations change. For example, in one embodiment, a central device may be designated as a constant master device. Other master devices (e.g., mobile devices, etc.) may continually change as the users of the device change. However, the central device may remain as a constant master device on the network system. As an example, a classroom may include a central device which is associated with the classroom (e.g., based off of geographic location, etc.). As students having devices enter and exit the classroom, the number of slave devices connected to a network associated with the classroom may be continually changing. Additionally, while a teacher is in the room, devices associated with the teacher may be designated as master devices. However, as other users enter the room (e.g., administrators, deans, supervisors, etc.), the controlling master device may change. For example, an administrator may have the ability to take control of the devices in the classroom. However, amidst the changing of devices and/or permission levels, the classroom central device may continually function as a master device (e.g., a supplemental master device). For example, the classroom may only have slave devices and the central master device connected to a network. In such an embodiment, the central master device may function as any other (e.g., a normal) master device. Even if other master devices were also associated with the network system, the central master device may still function as the controlling master device. Moreover, in some approaches the central device may display and/or control the hierarchy of master devices on the network system. Thus, a central device may remain constant while other slave devices and master devices may change (e.g., connect to and disconnect from the classroom network). In one embodiment, a central device may control distribution channels associated with a network. For example, the central device may dictate that media content be streamed via a wired network, a wireless network, and/or any other connection or protocol. In other embodiments, the central device may dictate that media content be streamed directly to individual devices, and may further manage all connected devices (e.g., maintain slave/master relationships, etc.). In some embodiments, interaction with the network system may require the device to be present (e.g., within the range of the wireless network system, within range of the wired network system, within range of device to device communication, etc.). In other embodiments, interaction with the network system may occur remotely. For example, in one embodiment, a master device may remain a master device (e.g., over all other slave devices in the network system) even when not present within the actual network system. According to some approaches, the master device may remain in connection with the network system using remote connections. However, in other approaches, the master device may remain a master device of a network system without maintaining connection thereto. As an example, a bus may be managed by a corporate entity. The corporate entity may include a master device for use in the bus to control all other devices and/or displays connected to a network associated with the bus. The bus may also include a central device for communicating with the master device (associated with the corporate entity) and any other device detected on the bus network. When the master device is away from the network system, the master device may still connect to the network system through an internet connection and/or any other remote connection interface system. The master device may contact the central device to administer to requests by other devices on the network system. Moreover, the master device may control what is being displayed on the network system in some manner. According to one example, the bus system may be associated with a tour, and the central device associated with each network system in each bus may be controlled by one global master device. In this manner, the company may retain control in directing and distributing content to each of its busses, despite being at a remote location, e.g., corporate headquarters. In another embodiment, an additional benefit of such a configuration is that real-time updates to the system may be applied and/or controlled. For example, a system of pods or shuttles may autonomously transport individuals to and/or from a location. Having the capability of tailoring the content displayed for each group of passengers may allow greater personalization depending on the route, passenger demographic, ticket price (e.g., tiered service levels), etc. As one example, a group of foreign tourists taking a shuttle may not comprehend what is being said on the radio. The network system may detect one or more devices whose preferred language is not English. The network system may communicate this back to the central device and/or the master device, and the master device and/or central device (e.g., if the central device is functioning as a master device) may change what is being displayed and/or presented so that it is in the tourists' preferred language. According to another example, a group may pay a higher ticket price corresponding to a guided (e.g., narrated) tour during their ride on a bus. As passengers enter the bus and scan their tickets, the scanner may relay information pertaining to the type of bus ride that has been paid for by the passengers back to the central device, which may in turn relay that information back to a remote master device. Using the relayed ticket information, the master device may determine the number of passengers on the bus, the route which the bus is to take, the anticipated length of the tour, driving direction, a pre-recorded audio narration of the trip, a video package corresponding to the trip, etc. Depending on the amount and/or type of information determined based on the received ticket information, the master device may send data back to the bus which may be used, played, projected, etc., accordingly. More illustrative information will now be set forth regarding various optional architectures and uses in which the foregoing method may or may not be implemented, per the desires of the user. It should be strongly noted that the following information is set forth for illustrative purposes and should not be construed as limiting in any manner. Any of the following features may be optionally incorporated with or without the exclusion of other features described. FIG. 4 shows an architecture 400 for the directing and managing of a network system, in accordance with one embodiment. As an option, the architecture 400 may be implemented in the context of the details of any of the other Figures. However, such architecture 400 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the architecture 400 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the architecture 400 includes one or more devices 402, one or more audio speakers 404, a wireless network system 406, one or more signal repeaters 408, one or more connector pins 410, one or more display devices 412, and one or more direct data lines 414. Of course, in other embodiments, fewer or more features and/or items than those shown in 400 may be included. In one embodiment, the architecture may function only as a wireless network system. For example, in various embodiments, the media player devices, audio speakers, and display devices may communicate via a wireless protocol (e.g., WiFi, WiFi direct, Bluetooth, etc.). In one embodiment, a connector pin and/or dock connector may allow the device to communicate wirelessly with the wireless network system. For example, in one embodiment, a device may not be wireless capable, but connecting to a connector pin and/or dock connector may provide the wireless resources to the device which may then communicate wirelessly. In one embodiment, the wireless network system may include one or more wireless networks. For example, the architecture may allow the devices to communicate device-to-device (e.g., using Bluetooth, etc.) while still allowing each device to maintain a separate data connection (e.g., cellular network access, etc.). In such an embodiment, each device's network connectivity may contribute to the entire architecture's overall data connectivity. In one approach, the architecture may leverage each device's individual data connectivity to efficiently allocate, request, and/or retrieve content media. As an example, the master device may detect a video associated with a slave device. However, the slave device may not have the video actually stored on the physical device itself, e.g., the video may be stored on a remote storage device such as a cloud based storage system. In response, the master device may begin to retrieve the video via the slave device, and upon retrieving the video, then broadcast it to the other display and/or media player devices. In such an embodiment, therefore, the slave device is used to retrieve the media content, and then send the media content to the master device for distribution. In another embodiment, the master device may distribute the data request among more than one data connected devices. According to one example, a slave device may be associated with a video stored in the cloud. The master device may request more than one device (e.g., in addition to, or rather than, the host slave device) to retrieve one or more parts of the video, process the one or more parts of the video, distribute the one or more parts of the video to other display and/or media player devices, etc. Thus in some approaches, the slave devices may be used to leverage each of their own data connection to provide a better service (e.g., higher bit rate, higher quality, etc.) to the presentation of the media content. In another embodiment, a master device may direct other slave devices to fetch and/or retrieve individual media content from one or more storage locations. Once retrieval begins, the master device may direct the presentation of the media content. For example, the master device may temporarily grant a slave device the ability to stream the retrieved media content to the other master devices, slave devices, displays, etc., in the network system. Once the slave device has finished retrieved media content that has been requested, the master device may revoke the ability and transfer such an ability to another slave device for presentation of the next media content, and so on. In this manner, the master device may direct the presentation of media content, while leveraging a network data connection for each device. In another embodiment, the architecture may function only as a wired network system. In such an embodiment, the network system may function as a closed system (e.g., having no data connection, etc.). According to one approach, the presentation of media content may be limited to that which is already stored on the devices connected to the network system. The master device may temporarily grant a slave device the ability to stream the media content to other devices connected to the network system. Moreover, the slave device may stream the media content directly to the master device, which may then distribute it to the other devices and/or displays on the network system. In some approaches, the master device may direct other slave devices to retrieve at least part of the media content from a particular slave device. Once retrieved, the individual slave devices may process (e.g., render a video and/or optimize the video for various screen sizes, etc.) and then stream the content to the other devices and/or displays on the network system, e.g., when requested. In one embodiment, a wired system may include dock connectors, pin connectors, Ethernet connections, etc., and/or any other types of connections to join the devices and/or displays together to create a wired network system. Further yet, the architecture may function as a joint wireless and/or wired system. In such an embodiment, the network system may incorporate aspects of wired connections and wireless connections. In one approach, one or more of the devices may be connected to a wired network system. Additionally, one or more other devices may be connected wirelessly to the same network system (e.g., using WiFi, Bluetooth, etc.). In other approaches, the devices may create a mesh device-to-device wireless system (e.g., using a Bluetooth mesh networking system, etc.). In some embodiments, when the devices are connected to, for example, a wireless network system, they may still have access to a cellular data connection. In other embodiments, the devices may lose a cellular data connection once they connect to a wireless network system. As such, to preserve the device's ability to utilize the cellular data connection, the devices may organize into a mesh topology (e.g., Bluetooth mesh network, etc.) where the master device may connect individually to each slave device, and/or each slave device may simply connect to its nearest device neighbor and forward on requests and/or media content. In this manner, aspects of both a wired network topology, a wireless network topology, and a device-to-device network topology may be integrated into one cohesive network system. In one embodiment, a cohesive network system may allow for higher overall bit rates (e.g., aggregate data connections, etc.), redundancy of requests (e.g., each request is sent through two devices to minimize dropped packets and/or lower quality, etc.), and/or any other network feature to effectively present the requested media content. In another embodiment, the network system may be connected to a global network drive for use by each of the connected devices. For example, in one approach, the network system may include a network storage drive. Each device may have access to this drive, and when requests are sent by the master device to the individual slave devices, the requested content may be provided and sent directly to the central network drive. In some embodiments, the master device or the central device may function simultaneously as a network drive. However, in some embodiments, a separate network drive with its own network connectivity (e.g., wired, wireless, etc.) may be provided to minimize network bottlenecks (e.g., information and requests are all filtered through the master device, etc.). FIG. 5A shows a user interface 500 for managing a network system, in accordance with one embodiment. As an option, the user interface 500 may be implemented in the context of the details of any of the Figures. However, such user interface 500 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 500 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 500 includes one or more settings 502. In various embodiments, the one or more settings may include ability to manage network and/or devices, network settings, management of resources on connected devices, ability to configure temporary permissions, users on the network, security, etc. In one embodiment, the interface may be displayed automatically when a network is established. For example, in one embodiment, when a network is created the user interface may be displayed to the creator to then manage and/or modify the network and its settings. In one embodiment, the ability to Manage Devices may be a setting within the user interface. For example, the connection of one or more devices may depend on a variety of factors that may be changed within this setting. In one embodiment, the connection of devices may depend on the device. For example, in another embodiment, if the device has previously been connected to the network it may be allowed to connect to the network again, e.g., without any additional permissions to connect. In another embodiment, the connection of one or more devices may depend upon permission from one or more devices already connected to the network. Further, this function may be used to manage all devices on the network or, in another embodiment, it may be to manage individual and/or groups of devices on the network. In another embodiment, the user interface settings may allow the user to manage the resources of the devices on the network. For example, in one embodiment, if one or more devices has access to resources (e.g., 4G data, WiFi, Bluetooth, etc.) outside of the network it may be permitted for other users to access those resources through the network as permitted by the manager of the network. Still yet, in one embodiment, the configuration of temporary permissions and/or settings may allow the manager of the network to alter the settings and permissions of the users on the network. In another embodiment, the altering of the network may occur for a specified duration. For example, in one embodiment, a permission may be given for a current user on the network to act as a master device thus controlling and manipulating the network temporarily. In another embodiment the duration of permissions may be fixed by the device creating the permission. In another embodiment, the duration may be generated to expire when the device becomes idle on the network for a time specified by the user of the device allowing permission. In another embodiment, a change of network availability setting may be used to regulate the network. For example, in one embodiment, the availability may be changed so that only users who have previously connected to the network may connect. Further, the network may be configured, in accordance with this or other functions of the network, to limit the number of users on the network at one time. In addition, the availability of the network may be configured such that only devices already connected may find and/or allow other devices to connect to the network. Still yet, in one embodiment, settings within the interface that display information that may be updated or altered based on activity on the network (e.g., notifications, number of users on the network etc.) may be updated through a manual process established by the creator and/or manager(s) of the network or the network may be configured to automatically process these and/or other updates in accordance with predetermined parameters. For example, in one embodiment, the network may process and update this information after a specific lapse of time. Further, in another embodiment, the update may occur based on the actions taken by devices connected to the network (e.g., connection/disconnection, sending information to the network, managing connectivity/resource availability etc.). FIG. 5B shows a user interface 504 for interacting with a network system, in accordance with one embodiment. As an option, the user interface 504 may be implemented in the context of the details of any of the Figures. However, such user interface 504 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 504 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 504 includes one or more settings 506. In various embodiments, the one or more settings may include ability to view devices on the network, manage network settings, manage resource connectivity, request network accesses, manage device settings, manage device-network configuration etc. For example, when one or more devices connects to the network the user interface 504 may be automatically displayed on the devices allowing them to alter the relationship that they have with the network. In one embodiment, the ability to view devices on the network may allow secure or unsecure communication between devices. For example, in another embodiment, one or more users on the network may view one or more other connected devices and establish a connection with that device which connection may include the transfer of data, files etc. Further, in another embodiment, if one or more devices have external resources available (WiFi, Bluetooth, etc.), these resources may be viewed and requested for use by one or more devices on the network. As shown, device settings 506 may be displayed on the device. Additionally, Device-network configuration 508 may also be displayed. In one embodiment, one or more users on the network may modify their connection to the network. For example, in another embodiment, one or more devices may assign the network as a primary network that the device is always connected to when within range. Further, in another embodiment, one or more devices may set preferences to connect to the network automatically within range if allowed by the network. Now referring to FIG. 6, a flowchart of an interactive method 600 for connecting to a network system is shown according to one embodiment. The method 600 may be performed in accordance with the present invention in any of the environments depicted in FIGS. 1-5B, among others, in various embodiments. Of course, more or less operations than those specifically described in FIG. 6 may be included in method 600, as would be understood by one of skill in the art upon reading the present descriptions. Each of the steps of the method 600 may be performed by any suitable component of the operating environment. For example, in various embodiments, the method 600 may be partially or entirely performed by a controller, a processor, etc., or some other device having one or more processors therein. The processor, e.g., processing circuit(s), chip(s), and/or module(s) implemented in hardware and/or software, and preferably having at least one hardware component may be utilized in any device to perform one or more steps of the method 600. Illustrative processors include, but are not limited to, a central processing unit (CPU), an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), etc., combinations thereof, or any other suitable computing device known in the art. Further, the aforementioned definitions may equally apply to the description below. As shown, the method 600 includes one or more user interfaces 602. In various embodiments, the one or more user interfaces may include ability to connect to a network, define specifications for a connection, create permissions, establish and save preferences for future use, etc. For example, in one embodiment, when a network is detected within range of one or more devices, a method may be presented to the one or more devices permitting a connection to the network. As shown, a method may include a user interface 602, and a prompt 604 to join a network. In one embodiment, one or more devices interacting with this or other user interfaces similar in nature may join the network by selecting the option to join which may lead this device to other user interfaces similar to those presented or, in one embodiment, may directly connect the device to the network as established by the network system and manager of the same. In another embodiment, one or more devices may choose to remain outside of the network through the selection process. Further, in various embodiments, the user interface may be displayed automatically on one or more devices. For example, in one embodiment, if the network and/or one or more devices detects that a connection has previously been made between the network and one or more devices, a prompt may appear automatically inviting the user to return to the network. In another embodiment, if one or more devices are detected within range of the network, the network may be configured to ask the device to join the network. In another embodiment, the ability to join the network may be manually accessed by one or more devices. For example, in various embodiments, one or more devices may be within range of the network and acknowledge it as a potential connection thereby allowing the user of the one or more devices to select the network and request admittance to it thereby accessing the prompt to join the network. As shown, the method 600 may include a user interface 606 and a prompt 608 to allow the contribution of resources of one or more devices to the network. In one embodiment, one or more devices may request access to a network which may be established such that the one or more devices on the network may contribute resources to the network and to one or more other devices on the network. For example, in various embodiments, one or more devices connecting to the network may allow permissions for one or more devices on the network to access the resources available to that device (e.g., 4G data, WiFi, enhanced processing capability, etc.,) either wired or wireless. Further, in another embodiment, the allocation of resources may be customized by the one or more devices contributing in order to maintain control of resources. Still yet, in various embodiments, if an allocation of resources is permitted by one or more devices on the network a preference may be allocated to one or more devices on the network. For example, in one embodiment, the one or more devices with the resource available to them may receive priority over devices requesting access to those resources. Further, in another embodiment, if a hierarchy of devices exists, a preference may be given to those devices designated by the network to be of greater importance. Additionally, in one embodiment, the network may allow for one or more users on the network to retain resources for personal use and deny them to the network and/or one or more users on the network. Still yet, in one embodiment, the network may be established to necessitate that one or more users on the network contribute resources to the network in order to connect. For example, in another embodiment, in order to receive access to a network one or more devices may be required to acknowledge that any resource available within the network system and/or devices connected to the network are available for use by any and/or all devices and systems in the network. As shown, method 600 may include a display 610 and a system 612 for the input of information relative to the connection of one or more devices to a network. In one embodiment, one or more devices connecting to the network may place one or more restrictions on the connection. For example, in one embodiment, these restrictions may apply to the sharing of resources as before explained. In another embodiment, these restrictions may apply to the connection to the network of the one or more devices. Further, in another embodiment, the limit may be an input by the one or more devices or, in another embodiment, the network may be established with a standard limitation as set by the creator of the network. Still yet, in various embodiments, the restrictions as set by the one or more devices or the creator of the network/network system may be based on, but are not limited to, time, data usage, number of users on the network, idle time on the network, location within a hierarchy of devices on the network if one exists, etc. As shown, method 600 may include a user interface 618 and a system 620 which may be used to save user preferences on the network. In one embodiment, one or more devices may set preferences to a network if allowed by the network. For example, in one embodiment, if one or more users decides that the current network will be connected to again in the future, the user may choose to save settings for the one or more devices on the network which may include, but is not limited to, resource sharing preferences, connect automatically to the network, time spent on the network, relationship to or with one or more other devices on the network, etc. FIG. 7 shows a vehicle user interface 700 for managing a network system, in accordance with one embodiment. As an option, the user interface 700 may be implemented in the context of the details of any of the Figures. However, such user interface 700 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 700 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 700 includes one or more displays 702. In various embodiments, the one or more user interfaces may include ability to manipulate various functions of the vehicle, control media settings and distribution, manage a network, etc. For example, in one embodiment, a vehicle may be equipped with various functions which may be displayed simultaneously or individually on the display of the vehicle. As shown, the user interface 700 may include a display 702 and settings 704 to manage a network system. In one embodiment, the controls for a network system may be integrated directly into the vehicle's system. In various embodiments the settings may operate in a manner consistent with what has been referenced above. For example, a network may be established between one or more devices. Information may be shared between the one or more devices on the network. In another embodiment, the vehicle system may act as a master device thereby managing the distribution of data and/or media consistent with what has been referred to above. By way of example, in one embodiment, the vehicle may be equipped with various functions such as, but not limited to, global positioning system, cellular phone syncing/integration, media functions, voice activating management, and, in various embodiments, a network management system. In another embodiment, the network management system may enable the creation of a network system and the management of the same. The user controlling the vehicle system may, in various embodiments, control the network, the users on the network, the distribution of resources, media, data etc. in a manner consistent with what has been referenced above. FIG. 8 illustrates a vehicle communication system 800 for distributing and directing of media content, in accordance with one embodiment. As an option, the system 800 may be implemented in the context of the details of any of the foregoing Figures. However, such vehicle communication system 800 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the vehicle communication system 800 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the system 800 may include steering wheel apparatus 802, an infotainment center device 804, wireless communication 806, repeaters 808, mobile phone devices 810, device to device communication 812, tablet devices 814, and in-seat devices 816. In one embodiment, the steering wheel apparatus may include the ability to control an infotainment system, one or more mobile devices (e.g., mobile phones, tablets, computers, etc.), and/or any other device associated with the vehicle. For example, in some embodiments, the steering wheel apparatus may include the ability to raise and/or lower the volume, change the music, change the designation of the controlling master device, select which media content to display, select which media content to distribute, approve one or more new devices, approve and/or deny requests from one or more of the connected devices, and/or take any other action relating to the vehicle and media content. In various embodiments, the infotainment center device may function as a central device and/or a master device. In other embodiments, the infotainment center device may be permanently integrated into the structure of the vehicle. In other embodiments, the infotainment center device may be mobile but must be kept within certain geographic bounds of the vehicle (e.g., within 2 feet of the perimeter of the vehicle, etc.). In another embodiment, the infotainment center device may be in wireless communication with one or more devices (e.g., mobile phone devices, tablet devices, in-seat devices, etc.). In other embodiments, the communication between the infotainment center device and any device may occur through a wired connection (e.g., dock connector, Ethernet, system connector, etc.). In one embodiment, the communication between the infotainment center device and any device may occur by any communication technology (e.g., IP, USB, Bluetooth, WiFi, WiFi Direct, Real-Time Protocol, Universal Plug and Play, etc.). Additionally, in other embodiments, the communication may include a standard by which the devices may communicate (e.g., Mirrorlink, etc.). Of course, however, any standard and/or technology may be used to connect the devices (e.g., infotainment center device, mobile phone devices, tablet devices, in-seat devices, and/or any other device in the vehicle, etc.). In another embodiment, the communication may allow the display and/or media content found on any of the devices to be controlled by a master device (e.g., central device, infotainment center device, etc.). In other embodiments, any of the devices (if permissions are granted by a master device) may control at least part of the functionality associated with the infotainment center device (e.g., raise/lower volume, change channel, change media content, accept/deny new devices and/or requests, etc.). Further still, any of the devices (if permissions are granted by a master device) may control at least part of the functionality associated with the vehicle (e.g., raise/lower air temperature, raise/dim lights, redirect air flow, open sunroof, raise/lower windows, etc.). In one embodiment, the repeaters may be used to extend the range of the wireless communication. However, in some embodiments, the signal may be sufficiently strong by a device and/or wireless transmitter to not need a repeater. Nonetheless, in one embodiment, the repeaters may be used to communicate with other vehicles and/or data sources. For example, in one embodiment, the repeaters may be used to establish a mesh-network with other vehicles, login to temporarily available WiFi networks, be used to establish and/or communicate with satellites (e.g., for data transmission, etc.), and/or enhance the vehicle network system in any manner. Further, in another embodiment, the in-seat devices may be mobile (e.g., removable from the seat, etc.) or permanent (e.g., built into the seat system, etc.) devices. In other embodiments, the in-seat system may include a dock into which a mobile device (e.g., phone, tablet, etc.) may be inserted. In some embodiments, the in-seat system may provide charging functionality, network connectivity (e.g., wired connection and/or wireless connection, etc.), and/or provide any functionality to other devices to connect to the vehicle network system. FIG. 9 shows a system 900 for distributing and directing media content, in accordance with one embodiment. As an option, the system 900 may be implemented in the context of the details of any of the foregoing Figures. However, such system 900 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the system 900 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the system 900 may include one or more mobile phone devices 902 and one or more mobile tablet devices 904. Additionally, the one or more mobile phone devices and the one or more mobile tablet devices may communicate device-to-device wirelessly 906. In other embodiments, the communication between devices may occur through a wired connection. Further, as shown, one or more master devices 910 may be included, and wireless communication 908 may occur between the master devices and/or between the master device and one or more mobile phone devices and/or mobile tablet devices. In various embodiments, the one or more master devices may include one or more central devices. In various embodiments, the master device may direct media content to another mater device and/or any slave device. For example, in one embodiment, the transmitting of media streams may include one or more media streams, including, for example, an audio component stream, a visual component stream, and/or a further real time live update data stream. Additionally, in other embodiments, multiple streams of media content may occur simultaneously. As an example, in one embodiment, a slave device may push the audio relating to an audio book to the front speaker system of the car audio. In such an embodiment, a separate slave device may push a video which is distributed by a master device to all displays on the networks system, and the audio component is directed back to the car audio system but is played on the back speaker system. In this manner, therefore, multiple inputs and/or streams may be distributed in a network system. Of course, in another embodiment, a first audio stream (e.g., associated with an audio book from a slave device, etc.) may be directed to a car system headphone system, and a second audio stream (e.g., associated with a video from a slave device, etc.) may be directed to a car system audio speaker system. In other embodiments, the transmission of audio streams and/or video streams may be altered and/or configured by the user in any manner. In a separate embodiment, therefore, audio and video stream information may be split into additional streams as necessary. In other embodiments, the audio and video content may be kept as one stream. Further yet, a master device may keep the audio and video streams as one incoming stream, but output the audio and video stream in more than one stream. As an example, in one embodiment, a slave device may stream to a master device a video file. The master device may forward the streaming to one or more devices in the network system, wherein the forwarding includes both the video and audio component of the media content. In a separate embodiment, the master device may play the media content, and direct the video and/or audio components separately. For example, a first audio channel may be in a first language, a second audio channel in a second language, etc. and the master device may send out all such channels to all devices. In one embodiment, the devices may automatically determine (e.g., based on preferences, etc.) which audio channel should be played. In another embodiment, the master device may select which audio channel should be sent to specific devices. Further yet, in another embodiment, the master device may stream multiple video channels, each of which may be associated, for example, with separate subtitles. Like the audio channels, the video channels may likewise be sent out to all devices, and configured either automatically (e.g., user preferences, etc.) or by manual settings of the master device (e.g., send video stream with Chinese subtitles to Device X, etc.). Moreover, in a separate embodiment, such audio and/or video streams may be directed by the master device as desired. For example, in one embodiment, the master device may be associated with a bus touring company, and specific languages may be sent to specific devices based off of the occupants of the bus. Or, in a separate embodiment, each occupant may select the preferred language to be heard on the device, and based off of the temporary user preference, the applicable audio and/or video channel may be played. In one embodiment, if a slave device is already playing media content, and the master device streams media content, the slave device may retain control as to whether the individually viewed content is continued to be played, or whether content from the master device is played. In another embodiment, preconfigured preferences on the salve device and/or the master device may be control automatic settings, including permitting the master device to automatically stream to and control the display on a slave device. Of course, in other embodiments, a slave device may be configured not to automatically cede control of the display to a master device. In such an embodiment, if media is streamed from a master device, a prompt may be displayed on a slave device requesting permission to display the media content from the master device. In one embodiment, automatic streaming of content from a master device to one or more slave devices may include pushing content. For example, in one embodiment, the pushing of one or more streams (e.g., video, audio, etc.) may be managed by a master device and/or central device. In another embodiment, the pushing of streams may be controlled by a master device associated with a vehicle assembly (e.g., infotainment center device, etc.). In another embodiment, streaming of content and subsequent display of content on one or more devices may be based on one or more triggers. For example, in various embodiments, a trigger (e.g., for controlling devices, for pushing content to devices, etc.) may be based off of location (e.g., GPS location, etc.), the type of users that are logged in (e.g., tourists, managers of the company, students of a class, etc.), the number of users that are logged in (e.g., threshold number of users triggers automatic direction by master device, etc.), and/or any other input which may influence how media content is streamed and/or displayed. In one embodiment, if a master device is requesting content from a cloud-based source, the master device may request a specific size (e.g., screen dimensions, etc.) of the media content. For example, in one embodiment, the master device may detect ten devices on the network system, each of which has a different sized screen. The master device may determine that the largest screen size of the ten devices is 1600×900 pixels. As such, the master device may request form the cloud-based source the media content which would conform to the largest screen size of all of the devices on the network system. Once the media content has been received, the master device may optimize the received media content for other devices with smaller screen sizes on the network system. In other embodiments, rather than the master device requesting the media content from a cloud-based source, the master device may request each individual slave device of different screen size to request and retrieve the media content based on the screen size of the device. Once received, the slave device may distribute (per the instructions of the master device) the media content to other devices having the same screen size. In one embodiment, if the number of devices exceeds a set threshold, more than one master devices (or a slave device given extended permissions) may be used to distribute and direct media content. For example, in some embodiments, having one master device may create a bottleneck as all requests are sent to one device, and media content is streamed from one device. In one embodiment, therefore, two or more master devices may work in conjunction in receiving requests and in distributing and directing media content. For example, if more than 20 devices are being controlled by one master device, another device may be promoted to function as a master device to assist in distributing the media content. In such an embodiment, the second master device may still receive commands and/or instruction from the first master device, but may otherwise be capable of distributing and directing media to one or more other devices. Further yet, in one embodiment, one or more override features may be present in the network system. For example, in one embodiment, the vehicle assembly may include the ability to temporarily disable all permissions on devices and effectively act as a master device. In this embodiment, therefore, a parent may still retain control of devices within the vehicle system even while driving or otherwise controlling the vehicle. In another embodiment, an override feature (e.g., to override an instruction from a master device, etc.) may be provided whereby a password, a keystroke, and/or any other input may be used to override the control of the master device (or the vehicle assembly). In one embodiment, the audio and/or video channels may be associated with a hierarchy. For example, in one embodiment, a specific audio stream may not be accessible to all devices, but only those associated with specified metadata (e.g., position of manager, etc.). As such, video and/or audio streams may be selectively distributed. In other embodiments, network system resources may require no permissions. For example, in one embodiment, a backup camera on the vehicle may be accessed by any device on the network system. Further yet, in one embodiment, the hierarchy of streams may change depending on the context of the input streams. For example, in one embodiment, if the vehicle is backing up and the back-up camera is activated, then the central device display may automatically show the video input stream from the back-up camera. In this embodiment, therefore, streams relating to safety make take precedence over less critical streams. Moreover, in other embodiments, a stream relating to a navigation update, or a news flash relating to an accident ahead, may take precedence over an entertainment stream. For example, the audio from a video being displayed on the devices may be temporarily muted to receive a more important update relating to navigation or a news flash. In one embodiment, for those audio and/or video streams which may be accessed (e.g., by any slave device, by a device which has permissions, etc.), the device receiving the one or more streams may combine them with digital elements to form an augmented reality. For example, in one embodiment, a video stream from an outward-facing camera on the vehicle may be streamed to one or more slave devices, which may take the video stream and display it on the device and allow the user to interact with the input stream in some manner. For example, the input stream on the device may provide a constantly changing background upon which the user can trace images (e.g., draw or paint application, etc.), play a game (e.g., hop over identified obstacles, search for known targets, etc.), and/or interact with the input stream in any manner. In various embodiments, the master device may direct where streams are played. For example, one or more devices may be associated with headphones. An incoming message may be localized and played on the headphones associated with the person to who the message is directed. Or, in another embodiment, if a navigation update occurs, rather than muting all speakers in the vehicle, the front speakers surrounding the driver may be muted to play the update. In this manner, therefore, the master device may direct where and how the streams are played. FIG. 10 shows a user interface 1000 for interacting with a public network system, in accordance with one embodiment. As an option, the user interface 1000 may be implemented in the context of the details of any of the Figures. However, such user interface 1000 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 1000 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 1000 includes one or more displays 1002. In various embodiments, the one or more display may include ability to manage and/or access a network system, manage and/or access available resources on the network which may include but are not limited to data connections, media and/or other files, available resources of one or more devise on the network etc. In one embodiment, a network system may be established in a public setting such as but not limited to a train, airplane, airport, mall, public event, etc. which may be accessed by anyone within range of the network. In another embodiment, one or more devices on the network may have access to resources such as information, files etc. that are specific to the network. By way of example, in one embodiment, a train may have a network system established that may be accessed by one or more devices on the train. The network system may, in various embodiments, have resources such as, but not limited to, information about the train, destination, etc. It may also have, in another embodiment, movies, music, games, and any other access as made available by the network. FIG. 11A shows a user interface 1100 for managing the network based off feedback from the one or more devices on the network, in accordance with one embodiment. As an option, the user interface 1100 may be implemented in the context of the details of any of the Figures. However, such user interface 1100 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 1100 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 1100 includes one or more settings 1102. In various embodiments, the one or more user interfaces may include the ability to manage devices, manage content displayed, network settings etc. The settings 1102 may operate in a manner consistent with what has been referenced above. For example, in one embodiment, a master device on the network may manage the displayed content of the one or more devices on the network and, in another embodiment, may customize the output of information and/or media based on the feedback from the one or more devices. In various embodiments, one or more master devices on the network may establish or alter the network such that the ability to manipulate the content displayed on the one or more devices within the network is available. By way of example, in one embodiment, a teacher in a classroom may establish a network in order to administer information to the one or more students in the class. The teacher may, in various embodiments, construct a network such that the content displayed is based off of the feedback given from the one or more devices. For example, in one embodiment, the teacher may administer an exam to the students through the network. Based on the submission of answers to the question displayed, the content may change. In various embodiments, the exam content may be altered manually by the teacher or automatically as established by the teacher. Further, in another embodiment, the content of the exam displayed may change individually based on the individual feedback of the devices, or, in another embodiment, the content may change as a result of the combined feedback of all the devices on the network or, in another embodiment, it may be established in any way by one or more of the master devices to best manage the network. FIG. 11B shows a user interface 1104 for sending feedback to the one or more managers of the network, in accordance with one embodiment. As an option, the user interface 1104 may be implemented in the context of the details of any of the Figures. However, such user interface 1104 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 1104 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 1104 includes one or more input options 1106. In various embodiments, the one or more input options may include a selection of one or more options, user input information, etc. The input options 1106 may operate in a manner consistent with what has been referenced above. For example, in one embodiment, the user may send feedback to the network and manager(s) of the same in a manner consistent with the current setting options of the network. For example, in one embodiment, the one or more devices on the network may be students in a classroom setting having an exam administered to them. In one embodiment, the exam may be administered to them by the teacher who may or may not be the manager and/or master device of the network. The exam may, in another embodiment, require the submission of answers or response to the exam in some way by the one or more student devices on the network. In various embodiments, the feedback sent by the one or more devices receiving the exam may determine the manner in which the exam is administered. FIG. 12 shows user interfaces 1200 for managing and participating in the network, in accordance with one embodiment. As an option, the user interfaces 1200 may be implemented in the context of the details of any of the Figures. However, such user interfaces 1200 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interfaces 1200 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 1202 includes one or more settings 1204. In various embodiments, the one or more user interfaces may include the ability to manage devices, manage content displayed, network settings etc. The settings 1204 may operate in a manner consistent with what has been referenced above. For example, in one embodiment, a master device on the network may manage the displayed content of the one or more devices on the network and, in another embodiment, may customize the output of information and/or media as desired. In various embodiments, one or more master devices on the network may establish or alter the network such that the ability to manipulate the content displayed on the one or more devices within the network is available. In another embodiment, the network may be established so that in order to become a part of it, permissions may be required to enable the one or more managers of the network to control the displayed content of the one or more other connected devices. In one embodiment, control may be applied consistently or intermittently as desired by the one or more master devices. As shown, the user interface 1208 may include a display 1210. In one embodiment, the display may be manipulated solely by the master devices/manager of the network. In another embodiment, the control of the display may be allocated solely to the user of the one or more devices in accordance with the terms set forth by the master device. Still yet, in another embodiment, the master device may alter the permissions of device display control associated with one or more devices on the network at any time to obtain the most efficient results desired. FIG. 13 shows a network system 1300 for communication and distribution of data, in accordance with one embodiment. As an option, the network 1300 may be implemented in the context of the details of any of the Figures. However, such network system 1300 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the network system 1300 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the network system 1300 includes one or more network managers 1302. In various embodiments, the one or more network managers may include the creator of the network, one or more servers, one or more devices on the network, and/or may arrange themselves in a form of hierarchy to establish controls and permissions on the network. For example, the network managers may adapt or manipulate the network and/or devices on the network in a manner consistent with what has been referenced above. As shown, the network communication system 1300 may include one or more external networks 1304 and one or more servers 1306. In one embodiment, the network manager on the network may have access to one or more other networks through wired or wireless connections (e.g., data, Bluetooth etc.) and may also have access to resources (e.g., servers, data files, etc.) outside the network. These, in another embodiment, may be shared or private or may be shared with the network and may operate in a manner consistent with what has been referenced above. As shown, the network communication system 1300 may include one or more connections 1308 and one or more devices 1310. In one embodiment, the connections to the established network may be wired and/or wireless for the one or more devices. Further, the connection to the network may operate in a manner consistent with what has been referenced above. For example, if the connection is wired or wireless the process for establishing connection to the network may operate the same with regards to permissions to connect, user interactions with the network, and resources distribution. In another embodiment, permissions may be allocated differently as established by the manager of the network based on the type of connection (e.g., wired, WiFi, Bluetooth, etc.). FIG. 14 shows a user interface 1400 for interacting with network system, in accordance with one embodiment. As an option, the user interface 1400 may be implemented in the context of the details of any of the Figures. However, such user interface 1400 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the user interface 1400 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the user interface 1400 includes one or more displays 1402 and one or more settings 1404. In various embodiments, as presented in the display 1402 and in a manner consistent with what has been referenced above, the network system may be implemented to send and respond to an emergency. For example, in various embodiments, an emergency signal may be transmitted through the network to one or more devices on the network. The one or more devices receiving the signal may, in another embodiment, respond to the request through the network system. As shown, the user interface 1400 may include one or more displays 1406 and one or more settings 1408. In one embodiment, the network may be used to send an emergency signal. In various embodiments, the user of one or more devices may create a signal and or message to be transmitted to the one or more other users on the network. Further, in another embodiment, the message and/or signal may include, but is not limited to, text, maps, locations, pictures, etc. and any other information as permitted by the network and inputted by the user of one or more devices. Now referring to FIG. 15, a flowchart of a method 1500 for distributing a signal through a network is shown according to one embodiment. The method 1500 may be performed in accordance with the present invention in any of the environments depicted in FIGS. 1-14, among others, in various embodiments. Of course, more or less operations than those specifically described in FIG. 4 may be included in method 1500, as would be understood by one of skill in the art upon reading the present descriptions. Each of the steps of the method 1500 may be performed by any suitable component of the operating environment. For example, in various embodiments, the method 1500 may be partially or entirely performed by a controller, a processor, etc., or some other device having one or more processors therein. The processor, e.g., processing circuit(s), chip(s), and/or module(s) implemented in hardware and/or software, and preferably having at least one hardware component may be utilized in any device to perform one or more steps of the method 1500. Illustrative processors include, but are not limited to, a central processing unit (CPU), an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), etc., combinations thereof, or any other suitable computing device known in the art. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the flow chart 1500 includes one or more steps 1502. In various embodiments, the one or more steps may describe how an emergency signal may reach every device on the network even if not every device on the network has external resources available to them. For example, if one or more devices on the network has a resource available to it (e.g., data signal, internet connection etc.) and receives an emergency signal/message, the network may recognize that message as an emergency message and transmit it to one or more other devices on the network. FIG. 16 shows a network system 1600 for managing a network system, in accordance with one embodiment. As an option, the network system 1600 may be implemented in the context of the details of any of the Figures. However, such network system 1600 and others presented herein may be used in various applications and/or in permutations which may or may not be specifically described in the illustrative embodiments listed herein. Further, the network system 1600 presented herein may be used in any desired environment. Moreover, the aforementioned definitions may equally apply to the description below. As shown, the network system 1600 includes one or more network hosts 1602. In various embodiments, the one or more network hosts may control, alter, and manipulate the network. Further, the one or more network hosts may or may not share some or all of the properties of the network. As shown, the network system 1600 may contain one or more devices 1604 and one or more connections 1606. In one embodiment, the one or more network hosts may be connected to the network and the other devices on the network through a wired or a wireless connection. Further, in another embodiment, not connection or resource may be needed outside of the devices connected in order to establish a connection. For example, in various embodiments, the one or more network hosts may establish a network without first establishing a connection to another network and/or system. In addition, in another embodiment, the one or more hosts on the network may be the devices directly connected to the one or more other devices on the network therefor establishing and maintaining the network independent of devices and/or resources outside of the network. While various embodiments have been described above, it should be understood that they have been presented by way of example only, and not limitation. Thus, the breadth and scope of a preferred embodiment should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.",H04M172527,H04M1725,20160129,20180417,20160804,69117.0 34,14991312,ACCEPTED,Seating System with Multi-Position Backrest,"An article of furniture comprises a base providing a seating area and having a back edge and a front edge, a support coupled to the base near the back edge and a distance from the front edge, and a linkage coupling the support to the base so that the support can be presented in generally upright and generally forward positions relative to the base. The distance from the front edge of the base to the support in the upright position is greater than the distance from the front edge of the base to the support in the forward position, and the support provides a surface that is a top surface when the support is in the upright position and is a rear surface when the support is in the forward position. The support remains between the back and front edges of the base in both the upright and forward positions.","1. An article of furniture comprising: a base providing a seating area and having a back edge and a front edge; a support coupled to the base near the back edge and a distance from the front edge; and a linkage coupling the support to the base so that the support can be presented in a generally upright position and in a generally forward position relative to the base; wherein the distance from the front edge of the base to the support in the upright position is greater than the distance from the front edge of the base to the support in the forward position, and the support provides a surface that is a top surface when the support is in the upright position and is a rear surface when the support is in the forward position; and wherein the support remains between the back edge and the front edge of the base in both the upright and forward positions. 2. The article of furniture of claim 1, wherein the linkage comprises a first hinge set coupled to the support and a second hinge set coupled to the base. 3. The article of furniture of claim 2, wherein the first hinge set comprises at least one hinge and the second hinge set comprises at least one hinge. 4. The article of furniture of claim 2, wherein the linkage comprises a hinge assembly; and wherein the hinge assembly comprises a base plate coupled to the first hinge set and to the second hinge set. 5. The article of furniture of claim 4, wherein the first hinge set is positioned on one side of the base plate and the second hinge set is positioned on an opposite side of the plate. 6. The article of furniture of claim 4, wherein the first hinge set comprises a plurality of hinges positioned on one side of the base plate and the second hinge set comprises a plurality of hinges positions positioned on an opposite side of the plate. 7. The article of furniture of claim 2, wherein the first hinge set is coupled to the support at a position intermediate one side of the support. 8. The article of furniture of claim 1, wherein the article of furniture comprises a plurality of supports and a plurality of linkages allowing at least one support of the plurality of supports to move independently of at least a second support of the plurality of supports between the generally upright and forward positions relative to the base. 9. The article of furniture of claim 8, wherein at least one panel wall is positioned behind at least one of the plurality of supports. 10. The article of furniture of claim 1, wherein the support is a unitary support. 11. The article of furniture of claim 10, wherein the unitary support substantially retains its shape in both the generally upright and forward positions. 12. An article of furniture comprising: a base providing a seating area and having a back edge and a front edge; a support coupled to the base near the back edge and a distance from the front edge; and a linkage coupling the support to the base so that the support can be presented in a generally upright position and in a generally forward position relative to the base, the linkage including a plate; and wherein the plate is positioned behind the support when the support is in one of the generally upright or forward positions and the plate is positioned below the support when the support is in the other of the generally upright or forward positions. 13. The article of furniture of claim 12, wherein the plate is positioned behind the support when presented in the generally upright position and the plate is positioned below the support when presented in the generally forward position relative to the base. 14. The article of furniture of claim 12, wherein the distance from the front edge of the base to the support in the upright position is greater than the distance from the front edge of the base to the support in the forward position, and wherein the support provides a surface that is a top surface when the support is in the upright position and is a rear surface when the support is in the forward position. 15. The article of furniture of claim 12, wherein the plate is substantially rigid. 16. The article of furniture of claim 12, wherein the linkage comprises first and second hinges and wherein the first hinge is attached to a first side of the plate and the second hinge is attached to a second side of the plate. 17. The article of furniture of claim 16, wherein the first hinge is coupled to the support. 18. The article of furniture of claim 16, wherein the first hinge is coupled to the support at a position intermediate one side of the support. 19. The article of furniture of claim 16, wherein the second hinge is coupled to the base. 20. The article of furniture of claim 19, wherein at least one post is positioned between the second hinge and the base. 21. The article of furniture of claim 12, wherein the article of furniture includes a plurality of supports and a plurality of linkages allowing at least one support of the plurality of supports to move independently of at least a second support of the plurality of supports between the generally upright and forward positions relative to the base. 22. The article of furniture of claim 21, wherein at least one panel wall is positioned behind at least one of the supports."," BACKGROUND Articles of furniture are used in a work environment, for example, seating systems, including chairs and couches/sofas, that provide seating surfaces for persons in the work environment. Other associated articles of furniture such as tables to provide individual and shared work surfaces and panel walls (e.g. to provide visual or auditory privacy) are also used in a work environment. In a work environment, persons may engage in a wide variety of tasks and types of activities, including focused individual work, collaborative interactions, one-on-one and group meetings, sharing of materials and documents, planned or informal social interactions, as well as intermittent relaxation and refreshment. Areas for focused work (e.g. office or task areas) and areas for social interaction (meeting or lounge areas) may be provided in a work environment. Ideally, the work environment provides articles of furniture that are configured or that can be arranged to support the wide variety of tasks and activities that persons engage in during the work day in the work environment. Articles of furniture are used both for work or task-intensive areas and for social or lounge areas in a work environment. The work or task-intensive areas may provide conventional “office” furniture, such as desks and tables, office chairs and task seating, as well as related articles of furniture and other equipment configured for the office setting. Such “office” furniture is generally configured to support office tasks, including individual work and typically more structured collaborative work. The lounge areas may provide articles of furniture intended to be more conducive to relaxation and social interaction, such as lounge chairs and couches/sofas as well as tables having an appearance that is more “residential.” Articles of furniture for a lounge area in a work environment are typically configured for informal and collaborative/social interaction. At times, persons in each type of area (office/task area or social/lounge area) in a work environment may engage in substantially similar individual or collaborative activities, for example, use of technology/computers, review of documents and materials on worksurfaces, communications of information to one or more other persons, etc. Persons in a lounge area may wish to engage in task-related work. Because the typical articles of furniture in a lounge area are not ideally suited for certain of the tasks or activities intended to be performed, the persons engaged in the tasks or activities may choose to return to an office/work area for the task (which may result in a loss of time, attention or productivity) or may remain in a lounge area and attempt to continue work on the task notwithstanding difficulties arising because the articles of furniture are not ideally suited for the task (which may result in a loss of comfort, attention or productivity). For example, if a person engaged in a task suited for work at a table or worksurface is in lounge seating in a lounge area, the person may not have the comfort of a back support or backrest (as would be provided if the person was in a suitable office chair or task seating in an office area). In certain meetings or other interactions that may occur in a lounge area in a work environment, a relaxed or reclined seated posture as typically invited by the configuration of the backrest of typical lounge seating may not be comfortable for some or all of the involved persons or may not be optimum or completely appropriate in the context of the interaction. Articles of furniture for a lounge area in a work environment are typically configured with a backrest positioned to provide comfort for a person engaged in lounge activities (rather than task or office activities). Such articles of furniture may not also be configured to provide comfort for persons who wish to engage in “office” or task activities (i.e. tasks of the type typically performed in an office area)."," SUMMARY An article of furniture for use in a work environment can readily and conveniently be configured for comfortable use by a person when engaged in multiple different types of activities. An article of furniture can be provided in a lounge area of a work environment and can conveniently be configured and reconfigured by persons as needed for comfortable use in a variety of tasks and activities, for example, both during office/task-related activity or during social/lounge-related activity. An article of furniture comprises a base providing a seating area and a support coupled to the base and having a width relative to the seating area of the base. A linkage couples the support to the base so that the support can be presented in a generally upright position and in a generally forward position relative to the base. The width of the support in the forward position is greater than the width of the support in the upright position. The present invention also relates to a seating system comprising a base comprising a seat and a backrest comprising a first surface and a second surface. A member couples the backrest for movement on a path of travel relative to the base. The backrest is moveable to an upright position and to a forward position. When the backrest is in the first position the first surface is presented in a generally downward orientation and the second surface is presented in a generally rearward orientation relative to the base. When the backrest is in the second position the first surface is presented in a generally forward orientation and the second surface is presented in a generally downward orientation relative to the base. A seating system comprises a base comprising a top surface configured to provide a seat and a backrest coupled to the base comprising a first surface and a second surface. The backrest is configured for movement to a first position and to a second position relative to the base. When the backrest is in the first position the first surface is presented in a generally downward orientation and the second surface is presented in a generally rearward orientation relative to the base. When the backrest is in the second position the first surface is presented in a generally forward orientation and the second surface is presented in a generally downward orientation relative to the base.","RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser. No. 14/223,415, filed on Mar. 24, 2014, which is a continuation of U.S. patent application Ser. No. 13/486,406, filed on Jun. 1, 2012, now U.S. Pat. No. 8,721,000. FIELD The present invention relates to an article of furniture comprising a seating system with a back support or backrest that can be configured in multiple positions. BACKGROUND Articles of furniture are used in a work environment, for example, seating systems, including chairs and couches/sofas, that provide seating surfaces for persons in the work environment. Other associated articles of furniture such as tables to provide individual and shared work surfaces and panel walls (e.g. to provide visual or auditory privacy) are also used in a work environment. In a work environment, persons may engage in a wide variety of tasks and types of activities, including focused individual work, collaborative interactions, one-on-one and group meetings, sharing of materials and documents, planned or informal social interactions, as well as intermittent relaxation and refreshment. Areas for focused work (e.g. office or task areas) and areas for social interaction (meeting or lounge areas) may be provided in a work environment. Ideally, the work environment provides articles of furniture that are configured or that can be arranged to support the wide variety of tasks and activities that persons engage in during the work day in the work environment. Articles of furniture are used both for work or task-intensive areas and for social or lounge areas in a work environment. The work or task-intensive areas may provide conventional “office” furniture, such as desks and tables, office chairs and task seating, as well as related articles of furniture and other equipment configured for the office setting. Such “office” furniture is generally configured to support office tasks, including individual work and typically more structured collaborative work. The lounge areas may provide articles of furniture intended to be more conducive to relaxation and social interaction, such as lounge chairs and couches/sofas as well as tables having an appearance that is more “residential.” Articles of furniture for a lounge area in a work environment are typically configured for informal and collaborative/social interaction. At times, persons in each type of area (office/task area or social/lounge area) in a work environment may engage in substantially similar individual or collaborative activities, for example, use of technology/computers, review of documents and materials on worksurfaces, communications of information to one or more other persons, etc. Persons in a lounge area may wish to engage in task-related work. Because the typical articles of furniture in a lounge area are not ideally suited for certain of the tasks or activities intended to be performed, the persons engaged in the tasks or activities may choose to return to an office/work area for the task (which may result in a loss of time, attention or productivity) or may remain in a lounge area and attempt to continue work on the task notwithstanding difficulties arising because the articles of furniture are not ideally suited for the task (which may result in a loss of comfort, attention or productivity). For example, if a person engaged in a task suited for work at a table or worksurface is in lounge seating in a lounge area, the person may not have the comfort of a back support or backrest (as would be provided if the person was in a suitable office chair or task seating in an office area). In certain meetings or other interactions that may occur in a lounge area in a work environment, a relaxed or reclined seated posture as typically invited by the configuration of the backrest of typical lounge seating may not be comfortable for some or all of the involved persons or may not be optimum or completely appropriate in the context of the interaction. Articles of furniture for a lounge area in a work environment are typically configured with a backrest positioned to provide comfort for a person engaged in lounge activities (rather than task or office activities). Such articles of furniture may not also be configured to provide comfort for persons who wish to engage in “office” or task activities (i.e. tasks of the type typically performed in an office area). SUMMARY An article of furniture for use in a work environment can readily and conveniently be configured for comfortable use by a person when engaged in multiple different types of activities. An article of furniture can be provided in a lounge area of a work environment and can conveniently be configured and reconfigured by persons as needed for comfortable use in a variety of tasks and activities, for example, both during office/task-related activity or during social/lounge-related activity. An article of furniture comprises a base providing a seating area and a support coupled to the base and having a width relative to the seating area of the base. A linkage couples the support to the base so that the support can be presented in a generally upright position and in a generally forward position relative to the base. The width of the support in the forward position is greater than the width of the support in the upright position. The present invention also relates to a seating system comprising a base comprising a seat and a backrest comprising a first surface and a second surface. A member couples the backrest for movement on a path of travel relative to the base. The backrest is moveable to an upright position and to a forward position. When the backrest is in the first position the first surface is presented in a generally downward orientation and the second surface is presented in a generally rearward orientation relative to the base. When the backrest is in the second position the first surface is presented in a generally forward orientation and the second surface is presented in a generally downward orientation relative to the base. A seating system comprises a base comprising a top surface configured to provide a seat and a backrest coupled to the base comprising a first surface and a second surface. The backrest is configured for movement to a first position and to a second position relative to the base. When the backrest is in the first position the first surface is presented in a generally downward orientation and the second surface is presented in a generally rearward orientation relative to the base. When the backrest is in the second position the first surface is presented in a generally forward orientation and the second surface is presented in a generally downward orientation relative to the base. FIGURES FIGS. 1A through 1E are perspective views of a seating system comprising articles of furniture for use in a work environment according to an exemplary embodiment. FIG. 2 is a side elevation view of a seating system and other associated articles of furniture in a work environment according to an exemplary embodiment. FIGS. 3A through 3C are schematic diagrams of a seating system showing the repositioning of a back support or backrest according to an exemplary embodiment. FIGS. 4A and 4B are perspective views showing a hinge assembly and mounting structure for a backrest according to an exemplary embodiment. FIGS. 5A and 5B are perspective views showing a hinge assembly with frame and mounting structure for a backrest according to an exemplary embodiment. FIGS. 6A and 6B are side elevation views showing a hinge assembly with frame and a mounting structure for a backrest according to an exemplary embodiment. FIG. 7 is a perspective view showing a seating system with a hinge assembly and mounting structure for a backrest according to an alternative embodiment. FIGS. 8A and 8B are perspective views of a backrest according to an exemplary embodiment. FIGS. 9A and 9B are side elevation views of a backrest according to an exemplary embodiment. FIGS. 10A and 10B are schematic diagrams of a seating system showing the repositioning of a backrest according to an exemplary embodiment. DESCRIPTION Referring to FIGS. 1A-1E, articles of furniture comprising a seating system are shown according to an exemplary embodiment. As shown, the articles of furniture comprising the seating system provide a person or persons with a seating surface or seat and a back support or backrest. According to an exemplary embodiment (as shown in FIGS. 1A-1E), the articles of furniture are configured for use in a work environment, including an office area or lounge area/setting. According to any preferred embodiment, the articles of furniture comprising the seating system will be configurable to support a person or persons engaged in multiple functions, such as various work-related tasks or lounge-social interactions in the environment. FIGS. 1A and 1B show a lounge seating arrangement in the form of couch or sofa 100 having a base 102 with a seating surface 104 and three backrests 106. FIGS. 1C and 1D show a lounge seating arrangement in the form of a couch or sofa 110 having a base 112 and a seating surface 114 and two backrests 116; the arrangement is provided in association with panel walls 118 (i.e. to provide for visual and auditory privacy). FIG. 1E shows a seating arrangement in the form of a chair 120 having a base 122 with a seating surface 124 and one backrest 126 and two arms 128. The seating surfaces are configured in a form to provide a support surface for a person (or persons) using the arrangement, comprising a seating surface and a back support or backrest; the backrests are also configured to present an area shown as surfaces intended to support a person (or persons) using the arrangement. The arrangement may be provided in a wide variety of other forms, with varying configurations of seating surfaces, varying numbers of backrests, fewer or more/other associated articles of furniture, such as modular components, benches (including seating surfaces without a backrest or with a partial backrest), tables and desking solutions, worksurfaces, panel walls, combinations/multi-function articles, connectivity and computing support equipment, etc. As shown in FIGS. 1A-1B and 1C-1D, articles of furniture comprising a seating system can be provided with a backrest configured to have two stable positions: an upright position (as shown in FIGS. 1A and 1C) and a forward position as shown for backrest 106a (in FIG. 1B) and for backrest 116a (in FIG. 1D). As shown in FIGS. 1A-1B and 1C-1D, at least one of the backrests of the lounge seating can be repositioned from the upright position to the forward position (FIGS. 1B and 1D). As shown in FIGS. 1A-1E, the backrest when in the upright position provides a back support area in a generally conventional relationship relative to the seating surface (e.g. generally suitable for a person or persons engaged in “lounge” activities for a lounge seating arrangement); the backrest when repositioned to the forward position extends further onto and provides a back support area further onto the seating surface (e.g. in a manner intended to be more suitable for a person or persons engaged in “task” activities). According to any preferred embodiment, the seating system will be configured to provide a backrest giving suitable and stable postural support for seated persons when in either the upright position or the forward position. Referring to FIG. 2, a lounge seating arrangement is shown in the form of a sofa 130 having a base 132 with a seating surface 134 and backrests 136 and 136a; the arrangement is provided in association with panel walls 138 and worksurfaces 142 shown as provided by tables 140. Lounge seating arrangement 130 is provided in an upholstered form as providing fabric covering 144 for cushions provided at the seating surfaces and as part of the backrests (and with matching fabric covering for other exposed surfaces of the related articles of furniture of the collection such as for panel walls 138 and armrests 146); as indicated, backrests with cushions have a padded and rounded form intended to provide a particular aesthetic appearance and/or enhanced user comfort. As shown in FIG. 2, when the backrest of the arrangement is in the upright position more of the seating surface is available for use and support of a person (or persons) using the arrangement; for example, a user seated in the seating surface can lean back onto the backrest in a more relaxed position (i.e. a reclined or “lounge” position). As also shown in FIG. 2, when the backrest of the arrangement is in the forward position less of the seating surface is available for use and support of a person (or persons) using the arrangement; for example, a user seated on the seating surface can lean back onto the backrest in a more upright position (i.e. a more conventional seated or “task” position). According to an exemplary embodiment, repositioning of the backrest from the upright position to the forward position is conducive to use of the seating arrangement in a different manner, for example, in a manner where area of the backrest provides back support for a user seated on the seating surface and working at an associated worksurface (as shown in FIG. 2). FIGS. 3A through 3C show a schematic diagram of a lounge seating arrangement 160 according to an exemplary embodiment. Base 162 provides a seating surface 164. A backrest 166 is coupled to base 162 by a mounting structure 170 (shown partially/schematically) and a hinge assembly 172 (shown partially/schematically). Referring to FIGS. 3A through 3C, repositioning of the backrest from an upright position (shown in FIG. 3A) and through an intermediate or transition position (shown in FIG. 3B) to a forward position (shown in FIG. 3C) is shown. Backrest 166 is provided with a handle shown as a strap 190 for use by a person repositioning the backrest from the upright position to the forward position. Pulling the strap will facilitate the initial movement or dislodging of the backrest from the upright position and lifting of the backrest into the forward position. According to the exemplary embodiment (shown schematically in FIGS. 3A-3C), when in the upright position the backrest provides a top surface A, a front surface B (presenting an area for supporting the back of a person using the seating surface), a bottom surface C (providing an area in interface with the seating surface), and a rear surface D (a rearward facing surface), as shown in FIG. 3A. When repositioned to the forward position, the backrest again provides a top surface B, a front surface C (presenting an area for supporting the back of a person using the seating surface), a bottom surface D (providing an area in interface with the seating surface), and a rear surface A, as shown in FIG. 3C. As shown schematically in comparison of FIGS. 3A and 3C, surface A provides the top surface when the backrest is in the upright position and the rear surface when the backrest is in the forward position; surface B provides the front surface when the backrest is in the upright position and the top surface when the backrest is in the forward position; surface C provides the bottom surface when the backrest is in the upright position and the front surface when the backrest is in the forward position; surface D provides the rear surface when the backrest is in the upright position and the bottom surface when the backrest is in the forward position. As shown in FIGS. 9A and 9B, according to an exemplary embodiment, a backrest 262 may be provided in a form that presents three surfaces (rather than four surfaces). According to other exemplary and alternative embodiments, the support or backrest may be presented in a wide variety of other forms and shapes, with a lesser or greater number of surfaces, with surfaces that are not “flat” or discrete in form, with bearing or support areas having various shapes and forms, with areas and surfaces in various combinations of shapes or forms, with surfaces/areas in curved or flat or other various or composite forms, etc. Referring to FIGS. 4A-4B and 5A-5B, a hinge assembly 200 and a mounting structure 240 to couple the backrest to the base are shown according to an exemplary embodiment. FIG. 4A shows hinge assembly 200 in the forward position; FIG. 4B shows hinge assembly 200 in the upright position. As shown in FIGS. 4A-4B and 5A-5B, hinge assembly 200 comprises a member shown as a base plate 202 with a first hinge set 210 and a second hinge set 220. Base plate 202 comprises a generally flat sheet structure 204 with two sets of reinforcing gussets 206 and a mounting bracket 208 (attached by fasteners such as screws). First hinge set 210 comprises a pair of hinges 212 including mounting brackets 214 (shown as comprising plates) with apertures for fasteners (such as screws). Second hinge set 220 comprises a pair of hinges 222 including mounting brackets 224 (shown as comprising plates) with apertures for fasteners (such as screws). First hinge set 210 is configured for mounting to a frame 230 (shown as a box frame) within a corresponding backrest 260 (shown in FIG. 5B). As shown in FIGS. 4A-4B and 5A-5B, mounting structure 240 comprises a pair of posts shown as brackets 242 having a generally channel-shaped cross-section and generally triangular profile. Posts are provided with mounting tabs 244 (with apertures for fasteners such as screws) at the base that allow attachment to a corresponding article of furniture (such as the base of the lounge seating arrangement shown in FIGS. 1A-1E, 2 and 3A-3C); posts are provided with a mounting area 248 (with apertures for fasteners such as screws) at the top that allow attachment to second hinge set 220 of hinge assembly 200 and by base plate 202 and first hinge set 210 to frame 230 of backrest 260. According to any preferred embodiment, the hinge assembly and mounting structure is configured to securely attach the back support or backrest to the base of the lounge seating arrangement, in the manner shown in FIGS. 1A-1E, 2 and 3A-3C (i.e. allowing movement of the backrest between a secure/stable upright position to a secure/stable forward position). As indicated and shown in FIGS. 3A-3C, the posts of mounting structure 172 are in a generally vertical orientation when mounted to base 162 of the seating system. See also FIG. 7. FIGS. 6A and 6B show the articulation of the hinge assembly to reposition the frame of the backrest relative to the mounting structure as to facilitate movement of the backrest from the upright position (FIG. 6A) to the forward position (FIG. 6B) relative to the base of a corresponding article of furniture (as shown in FIGS. 1A-1E, 2 and 3A-3C). As shown in FIGS. 6A and 6B, first hinge set 210 rotates approximately 90 degrees (shown as in a rearward or counterclockwise orientation) to reposition frame 230 of the backrest relative to hinge assembly 200; second hinge set 220 rotates approximately 90 degrees (shown as in a forward or clockwise orientation) to reposition hinge assembly 200 relative to mounting structure 240. As indicated in FIGS. 3A-3C and 6A-6B, the hinge assembly has a defined path or range of movement as the backrest is repositioned generally constrained by the interaction between the backrest and the seating surface of the article of furniture. The range of movement of the backrest relative to the base may also be limited by the configuration of the hinge assembly and mounting structure (e.g. by a mechanical obstruction of the base plate relative to the posts or by an additional mechanism or structure such as a cable or wire as shown in FIG. 7). Referring to FIGS. 6A and 6B, frame 230 has a box-shaped form (with a mounting area for attachment to the first hinge set); according to a particularly preferred embodiment the form of the frame will correspond generally to the form of the corresponding back support or backrest (e.g. backrest 260 as shown in FIG. 5B) or can otherwise be configured to fit and engage securely to the structure or member functioning as the back support or backrest (i.e. by any suitable arrangement or method). According to any preferred embodiment, the frame is configured for secure installation within the backrest by a suitable mounting arrangement (i.e. by attachment to the interior structure of the backrest, see, e.g., FIG. 7). As indicated in FIGS. 1A-1E, 2 and 5B, the back support or backrest may have a cushion or padding that fits around the frame as well as an upholstered cover (e.g. fabric or other material) that corresponds in an aesthetic fashion to the cover of the base (as well as to other associated articles of furniture) or that otherwise is selected to provide a desired appearance. According to an alternative embodiment, the backrest may comprise a cushion with a structure that can be installed over (and around) the frame. According to other alternative embodiments, the frame of the backrest may be provided in any of a wide variety of configurations (including a configuration where the structure of the backrest provides a suitable frame) for coupling to the hinge assembly. Referring to FIG. 7, hinge assembly 172 and mounting structure 170 are shown as coupling backrest 166 to a base 162 of an article of furniture shown as a seat 160 according to an alternative embodiment. As shown in FIG. 7, base 160 provides a supplemental mounting structure 176 for connection of an actuator or assist device shown as a pneumatic cylinder 178 intended to facilitate movement of the backrest between the forward position and the upright position (e.g. providing a biasing force helping to lift the backrest into the upright position). As shown, actuator 178 is pivotally connected at one end to a mounting bracket on base plate 180 of the hinge assembly 172 and pivotally connected at the other end to supplemental mounting structure 176. Base plate 180 has an H-shaped form; a first hinge set 184 is coupled to a flange or tab on frame 174 installed within backrest 166; a second hinge set 188 is coupled to base plate 180 and to mounting structure 170. Cables 192 connected between mounting structure 170 and frame 174 provide a limit on the range of movement of hinge assembly 172. As shown in FIGS. 3A-3C and 7, support or backrest 166 does not simply fold down and forward when moved from the upright position to the forward position; backrest 166 is tilted and “flipped” (i.e. folded rearward/backward) so that when in the upright position, surface A is the top surface and in the forward position surface A is the rear surface. Referring to FIGS. 8A and 8B, a backrest 260 is shown according to an exemplary embodiment. In FIG. 8A, backrest 260 is in an upright position with a surface area B presented for support of the back of a user. In FIG. 8B, backrest 260 is in a forward position with a surface area C presented for support of the back of a user. As indicated in FIGS. 8A-8B, a different surface or area for support of the back of a user is presented in the upright position than in the forward position. Referring to FIGS. 9A and 9B, a backrest 262 having a curved surface E is shown according to an exemplary embodiment; backrest 262 presents in effect three surfaces. The surfaces presented by backrest 262 when in the upright position (FIG. 9A) are forward surface E (e.g. to provide a back support area for a seated person), bottom surface C and rear surface D. The surfaces presented by backrest 262 when in the forward position (FIG. 9B) are forward surface C (e.g. to provide a back support area for a seated person), bottom surface D and top surface E. According to other exemplary and alternative embodiments, the backrest may have any of a variety of different forms that present a corresponding variety support areas and/or surfaces (or combinations of areas and surfaces); the backrest may have a substantially rounded form (see, e.g., FIGS. 9A-9B) or may have generally flat surfaces (see, e.g. FIGS. 8A-8B and 10A-10B) or may have a combination of generally rounded surfaces (and edges) and generally flat surfaces (see, e.g. FIG. 2). Referring to FIGS. 10A and 10B, a seating system is shown with base 102 with a seating surface 104 and a back support 106 (i.e. backrest and/or cushion) is shown in schematic (simplified) form according to an exemplary embodiment. The position and relationship of back support 106 (i.e. backrest and/or cushion) and base 102 with seating surface 104 is shown with the support or backrest in the upright position and the forward position, respectively. Backrest 106 has a generally frustum-shaped form (shown in profile in FIGS. 10A and 10B) (see also FIGS. 1B and 2). According to any exemplary embodiment, the backrest (regardless of its shape or form) presents an effective height and an effective width relative to the base (i.e. also relative to the seating surface/area of the base). The relationship between the height and the width of the backrest (or support) and in reference to the base is indicated in a schematic (i.e. simplified) form. When backrest 106 is in the upright position (shown in FIGS. 10A and 1A), the height of the backrest is Hu and the width of the backrest is Wu; when backrest 106 is in the forward position (shown in FIGS. 10B and 1B), the height of the backrest is Hf and the width of the backrest is Wf. As shown, the height of the backrest in the upright position is greater than the height of the backrest in the forward position (i.e., Hu>Hf); the width of the backrest in the forward position is greater than the width of the backrest in the upright position (i.e., Wf>Wu). As shown in FIGS. 10A and 10B (as well as in FIGS. 1A-1E and 2), when the backrest is in the forward position, the backrest occupies a greater portion of the seating area of the base than when the backrest is in the upright position. As indicated in FIGS. 1A-1E, 2, 3A-3E, 7 and 10A-10B, according to various exemplary embodiments, the backrest is moveable to an upright position and to a forward position by a translational and rotational movement (e.g. backrest 106 and backrest 106a in FIGS. 1A and 1B). As indicated schematically in FIGS. 3A-3C and 10A and 10B, the backrest is “flipped” (rather than folded down) when repositioned from the upright position to the forward position so that the respective surfaces or exposed areas of the backrest are reoriented. According to alternative embodiments, the seating system can be configured to provide for movement of the support of the backrest between the upright position and the forward position by any of a wide variety of suitable arrangements or methods. According to any preferred embodiment, the backrest is configured and designed to provide at least two stable and secure positions (i.e. upright position and forward position) that provide a suitable back support area for a person sitting on the seating surface. According to any preferred embodiment, the articles of furniture (e.g. sofa, chair, etc.) will be configurable by positioning of one or more of the backrests (together or individually/separately as indicated in FIGS. 1A-1B and 1C-1D) to support a person or persons working in any of a wide variety of individual and collaborative activities and functions, including task activities and lounge activities, that persons may engage in or perform in a lounge area of a work environment. According to a particularly preferred embodiment, the articles of furniture will provide an attractive an inviting appearance and comfortable postural support for persons in the work environment. The articles of furniture may be provided in any of a wide variety of configurations and ornamental appearances, including conventional arrangements or collections that may be positioned on the floor in an office area or lounge area or otherwise in a work environment. The articles of furniture (including the seating system) may use any suitable materials of construction for the various structures and components, for example, metal, wood, plastics and composite materials, combinations of materials, as well as coverings such as fabric or plastic or other types of covering (i.e. having a suitable durability and ornamental appearance). According to any exemplary embodiment, the back support or backrest may be provided in any of a wide variety of forms, profiles and shapes such as trapezoidal, rectilinear, prismatic, frustum-shaped, elliptical, rounded, curved, etc. and may provide support areas or support surfaces in multiple positions and quantity (e.g. three, four, five, six or more/less areas or surfaces, whether discrete or continuous in form). The support areas or support surfaces presented by the backrest may be determined by the shape of the padding or cushion comprising the backrest. The construction and arrangement of the elements of the present inventions as described in this application and as shown in the figures is illustrative only. Although certain exemplary embodiments of the present inventions have been described in detail in the present application, those skilled in the art who review the application will readily appreciate that many modifications are possible without materially departing from the subject matter, novel teachings and advantages of the present inventions. Accordingly, all such modifications are intended to be included within the scope of the present inventions. Other substitutions, modifications, changes and omissions may be made in the design, materials of construction, components and elements, arrangement and configuration, manner of operation and use, connection or coupling of components and elements (e.g. whether coupling is direct or indirect (i.e. using intermediate parts or components)), etc. of the preferred and other exemplary embodiments without departing from the spirit of the present inventions. The system and method of the present inventions can incorporate and comprise known components and technology or may incorporate and comprise any other applicable technology (present or future) providing the capability to perform the functions and processes/operations indicated in the FIGURES. All such technology is considered to be within the scope of the present inventions.",A47C1300,A47C1300,20160108,20170711,20160616,74582.0 35,15007010,ACCEPTED,DEVICE FOR VIEWING AN INTERIOR OF A MOUTH,The viewing device for the interior of a mouth of a patient includes a penetrating ray emitter adapted to take a view of an inner portion located under an outer surface of an organ arranged in the mouth. There is a pair of augmented-reality glasses having an optical glass through which a user of the pair of glasses can see the interior of the mouth and a viewing camera adapted to take an image of what the user sees through the optical glass. A central unit correlates first images corresponding to those taken by the viewing camera with second images corresponding to those taken by the penetrating-ray emitter.,"1. A viewing device for an inside of a patient's mouth, the mouth having an organ, said viewing device comprising: a penetrating ray emitter adapted to take a picture of an inner portion located under an outer surface of an organ arranged in the mouth; a pair of augmented-reality glasses being comprised of an optical glass through which a user of the pair of glasses can see the inside of the mouth, and a viewing camera adapted for taking an image of what the user sees through the optical glass; and a central unit being adapted to correlate first images corresponding to those taken by the viewing camera with second images corresponding to those taken by the penetrating ray emitter. 2. The viewing device according to claim 1, wherein the central unit is adapted to orient the second images depending on the orientation of the pair of augmented-reality glasses. 3. The viewing device according to claim 1, wherein the central unit is adapted to project onto the optical glass the correlation of the first images with the second images. 4. (canceled) 5. The viewing device according to claim 1, further comprising: a medical treatment instrument being comprised of a tool that is adapted to process anatomical components of an organ, which it is into contact with, and a reference mark, which is adapted to be spatially identified during the processing of the anatomical components, wherein the central unit is adapted to know the dimensions of the tool and the distance separating the tool from the reference mark, and to determine the position of the tool in the organ during the treatment. 6. The viewing device according to claim 5, wherein the central unit is adapted to make third images that represent the tool used for the treatment, to correlate them with the second images, and to project the correlation so as to permit viewing the tool in the organ being treated. 7. The viewing device according to claim 5, wherein, since the length of displacement of the tool is equal to the length of displacement of the reference mark, the central unit is adapted to determine the direction and the direction of movement of the tool relative to the anatomical components, which it is into contact with, the direction and the direction of movement of the tool being either equal to the direction and the direction of movement of the reference mark, when the tool is not deformable relative to these anatomical components, or determined by the relief of these anatomical components, when the tool is deformable relative to the latter. 8. The viewing device, according to claim 5, wherein the central unit is adapted to determine the ideal movement of the tool used for performing a treatment. 9. (canceled) 10. The viewing device, according to claim 8, wherein the guidance of the user is carried out by displaying the ideal movement on the optical glass correlated with the second images. 11. The viewing device, according to claim 8, wherein the guidance of the user is carried out by emitting a sound signal depending on the position of the tool being used. 12. The viewing device according to claim 5, wherein the tool being used is identified by an identifier and wherein the central unit is adapted to receive the identifier and to determine the corresponding tool. 13. The viewing device according to claim 12, wherein the central unit comprises a library of identifiers, each identifier corresponding to a tool being part of the viewing device. 14. The viewing device according to claim 1, further comprising: a camera for taking an optical impression adapted to take an optical impression of an outer surface of an organ arranged in the mouth, the central unit being adapted to correlate fourth images corresponding to those taken by the camera for taking an optical impression with the first images. 15. (canceled) 16. (canceled) 17. The viewing device according to claim 1, wherein the penetrating ray emitter is adapted to transmit digitally to the central unit the images it takes. 18. The viewing device according to claim 1, further comprising: a scanning device adapted to scan the non-digital images emitted by penetrating ray emitter and to transmit the scanned images to the central unit. 19. The viewing device according to claim 1, wherein the central unit is adapted to project onto the optical glass additional information relating to the patient, and wherein the additional information relating to the patient comprise data to be respected for making a dental prosthesis. 20. (canceled) 21. The viewing device according to claim 19, further comprising: at least one peripheral instrument connected to the central unit and adapted to capture additional information relating to the patient. 22. (canceled) 23. The viewing device according to claim 1, further comprising: a microphone adapted to capture control commands from the user and to transmit them to the central unit. 24. The viewing device according to claim 1, wherein the pair of augmented-reality glasses comprises a spatial marking instrument. 25. The viewing device according to claim 1, further comprising: a lighting system adapted to illuminate the organ arranged in the mouth. 26. The viewing device according to claim 25, wherein the illumination system comprises light-emitting diodes the wavelength of which is adapted to permit the identification of diseases. 27-28. (canceled)"," BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a device for viewing the inside of a mouth, namely a device permitting to see an inner portion located under an outer surface of an organ arranged in the mouth. 2. Description of Related Art Including Information Disclosed under 37 CFR 1.97 and 37 CFR 1.98 The known devices aimed at viewing in the field of dental treatments of the invisible sub-gingival, coronary, radicular or osseous parts include the following devices: a penetrating ray emitter such as an X-ray device operating in 2D (conventional radiography or radiovisiography), in 2D½ or 3D (scanner, cone beam, panoramic scanner or orthopantomograph) or an MRI device, an ultrasound device, a device operating with terahertz radiation or a device operating with the techniques derived from the holographic interferometry (OCT); eventually an intraoral camera for taking an impression by optical means (radiation ranging from deep blue to X-ray, even ultrasound), whether using a structured projected light or not; and a remote display screen permitting to see, on the one hand, the view of the modeling from the device emitting penetrating rays and, on the other hand, the modeling obtained as a result of the scanning performed using the intra-oral camera displayed after the clinician has performed the optical impression. Radiology devices used in dental treatments can be divided into two large categories, those located close to the dental unit and the remote ones. In the first category we find devices using silver-based, phosphor-based or digital supports (radiovisiography or RVG). Though the silver-based devices are increasingly less used, this is not the case for the other two, since they permit to scan indirectly (phosphor-based supports) or directly (RVG) the pixelated radiological image obtained from osseous tissue by transparency to X-rays. In both cases, the image obtained is scanned in grayscale and displayed in 2D on a screen near the practitioner, in black and white or in reconstructed virtual colors. This image allows him to know the sub-gingival condition of the osseous tissue, but also of the crowns and roots of the teeth. The clinician carries over and intuitively matches the viewed shapes seen on the 2D screen onto the parts visible in the mouth of his patient. This allows him to have a very rough idea of knowing the shape and length of a root, of knowing whether there are pathological pictures and to imagine the position of the nerves and the big blood vessels. If he also wants to monitor over time whether his treatment is effective or not, he will have to make several successive pictures. With the emergence of a more demanding dentistry, in particular addressing the treatments in periodontology and implantology, more complex devices, which represent a second category, have been used. These devices are rarely present in the dental office, but they allow the dentist to have a general view of the entire mouth in 2D, 2D½, even 3D if he uses magnetic resonance (MRI). In this category we have found over the last thirty years the oral scanners (pantographs, panoramic scanners) providing 2D images of the entire arch in one picture, the CT scanner providing 2D½ images that permit, thanks to the different voxel planes, to reconstruct a false 3D image (scanner) and more recently the cone beams combining the advantages of the traditional scanner and the CT scanner providing a very fast and much more accurate 2D½ picture of the osseous tissue. The latter images are widely used in implantology where the practitioner should exactly know the position of the underlying organs such as the sinuses and the various osseous structures when preparing the site for receiving his future implant. In all cases, these spatial 2D½ (or false 3D) images are shown on a remote 2D screen permitting to move them in three planes in space and to know where the interesting areas or risk areas are located. Finally, some practitioners use real 3D images in MRI, but this is still seldom and very expensive. In this case too, the display will occur on a remote monitor. Recently, and in view of the inaccuracy of the radiological image, some clinicians have decided to associate with the inaccurate X-ray image (100 to 200 microns) a much more accurate (10 to 30 microns) image of the outer portion obtained using an intraoral camera for optical impression. By blending the first and the second image, they get on the remote 2D screen a combined view of the tissues and the underlying organs and the optical impression of the teeth and the gums. Unfortunately, though the knowledge of the proximity of an underlying organ is acceptable to within about one hundred microns, this is not true for the accuracy of a crown or the cylinder of an implant, which must be known to within about ten microns. If they use the systems described above for the sub-gingival view, they need in addition an optical impression camera in order to have a sufficiently accurate external view. Nowadays, as a direct result of the works by the inventor François Duret, there exist different kinds of methods for taking an intraoral optical impression in the dental practice, which can be combined in a radiological image. We find: those projecting onto the tooth a structured light, which may be a dot, a line or a complete grid. They have been widely known for several decades and are very well described in the article by G. Hausler and Col “light sectioning with large depth and high resolution” in Appl. Opt. 27 (1988). They can use, for example, projections of grids with variable pitch (“numerical stereo camera” SPIE Vol 283 3-D, 1981), the principle of the profilometric phase (Duret U.S. Pat. No. 5,092,022 and U.S. Pat. No. 4,952,149), the best known of which is the CEREC (Sirona GmbH), the one that combines the projection of the fringe and phase variations of the Hint-Els Company (USA) or the parallel confocal principle such as the Itero (US.0109559) from Cadent (USA). those that do not use the projection of active or structured light, but the stereoscopic interferometry. This is the case of the Lava AWS camera from 3M (Rohaly and Co, U.S. Pat. No. 7,372,642) or the Condor camera from Duret and V & O Querbes (U.S. Pat. No. 8,520,925). Though we can say that all these works and inventions have led to many embodiments and to more than twenty commercially available systems (F. Duret, dental floss No. 63, May 2011, “the great adventure of the CADCAM at the IDS in Cologne” 14-26), none of them has provided an original solution permitting to display the impression of the visible and invisible parts directly in the mouth during and after their taking. All these described methods, implemented in dental offices or in another room for large radiology devices, use the same display system: a remote screen close to or far away from the operator. Irrespective of the complexity of these devices, with all the cameras or radiology devices that we have described above is associated a screen. It can be placed on a kart, be connected to or depending (all-in-one) on a computer or be part of or the whole laptop or tablet. In the case of a data-processing monitor (video, plasma, LCD or LED). The screen is specific to the application, radiological or display of the optical impression being taken. Sometimes it combines the two methods (Planmeca, Carestream) by displaying in two different windows the video picture from the camera view and the modeled picture resulting from the radiological and/or intraoral digital processing. On this same screen can be displayed the practitioner's interactive view that permits him to complete the information relating to the patient: the medical characteristics and the care to be brought or already brought. This is referred to as the patient card. In this case, it is no problem to display this information on a remote screen, since the elements contained in this card are rarely completed during the actions or need not be displayed during same. Although this has already led to making an augmented-reality application, for us it is of little interest to the patient's health. This is not case as regards the displaying of his physiological data during the intervention, as we will see in the accessory applications of our invention. The digital central processing unit (CPU) collects and processes the information proceeding from the intraoral camera and the radiology devices, then displays them on the display screens. We immediately understand that the first problem faced by the operator is to have to look on one or more remote screens at the radiological view and the one proceeding from his intraoral camera. If he uses a silver-base support, he has no option but to use a light box. This obliges him to look away and to never have any accurate match between his clinical space, which is what he sees in his patient's mouth, and the sub-gingival area, which is radiologically known and displayed on the monitor. We understand why the clinician must constantly take his eyes away from his operating field to the remote image. In addition, though he is provided with augmented-reality indications on the remote screen, he must not only make the effort of moving his eyes from his operating field to the monitor, but also of transposing with his brain and virtually these indications and information displayed on the remote 2D screen to the operating field, with the risk of being inaccurate or of doing it wrong. This is extremely uncertain, especially since the only region corresponding to a common volume between the visible part and the sub-gingival part permitting a correlation by the mind is in the radiological view displayed in 2D on the screen, while in the mouth his vision is three-dimensional. The operation is so inaccurate in implantology that the clinicians must use guides, which are secured to the teeth, so that their drill bits do not injure the underlying tissue. We easily understand that seeing indirectly the course and the result of his work is dangerous for the patient, inaccurate, incomplete and extremely damaging in daily practice. We can summarize the issues arising from this way of displaying on a remote screen as follows: this obliges the latter to permanently move his eyes between the body part on which he is working and the remote screen. Indeed, if the practitioner wishes to follow the evolution of his endodontic or surgery work, he must move his eyes away from the body area on which he is working and watch his video or digital screen (monitor) in order to guess where his work is located, this movement can lead to adverse, inaccurate and uncontrolled movements of his hands during his work, which issue is especially important when he works for a long period (fatigue), this movement is dangerous because his eyes regularly leave the operating field at the risk of causing an injury in the patient's mouth or body or of breaking his instruments. this is also very tiring because the existence of a remote display requires eye gymnastics at a very high pace. It is thus possible to have more than 20 to-and-fro movements of his eyes per minute. This excludes any additional directly correlated information about the viewed field as is now possible with the augmented reality. Having no correlation between the actual view and the information proceeding for example from the augmented reality on a remote screen excludes any real time and any accurate information in the operating field. Even though this information appears on the remote screen, the display will never be in real time and the clinician's gesture will not be positioned accurately in the working field. This action is inaccurate: we see that though it is possible to see the underlying tissues on a remote screen, the direct viewing of his work is never secure, because moving his eyes and changing the clinical action area during his work makes difficult the correlation between the two observations. There exists no real correlation between the RX representation and the working field, due to the use of the remote screen. This also applies to any information from the augmented-reality software transferred onto the remote screen. This operation is insufficient: the RX radiation produces a 2D or 2D½ display transferred onto a 2D screen, which makes it especially difficult, even impossible, to estimate what has been x-rayed with respect to what is actually present in front of the operator in 3D eye vision. This medical procedure is not secure: we can say that no simple and especially secure solution has been found to meet the needs of the clinician. For his action to be secure, he must see the area that has been X-rayed and the area on which he is working combined in real time in one and the same repository. This is the essential condition for being able to work safely, quickly, with total comfort and with the accuracy required for this type of intervention."," BRIEF SUMMARY OF THE INVENTION The present invention aims at coping with these aforementioned drawbacks by providing a new viewing device. The invention relates to a device for viewing the inside of a patient's mouth, the viewing device comprising a penetrating ray emitter adapted to take a picture of an inner portion located under an outer surface of an organ arranged in the mouth, wherein it comprises a pair of augmented-reality glasses having, on the one hand, an optical glass through which a user of the pair of glasses can see the inside of the mouth, and, on the other hand, a viewing camera adapted for taking an image of what the user sees through the optical glass, a central unit being adapted to correlate first images corresponding to those taken by the viewing camera with second images corresponding to those taken by the viewing camera with second images corresponding to those taken by the penetrating ray emitter. According to a first embodiment, the central unit is adapted to orient the second images depending on the orientation of the pair of augmented-reality glasses. According to a second embodiment, the central unit is adapted to project onto the optical glass the correlation of the first images with the second images. According to a peculiarity of the second embodiment, the central unit is adapted to project onto the optical glass, at request by the user, images from a selection of anatomical components of the organ taken by the penetrating ray emitter. According to a third embodiment, the viewing device includes a medical treatment instrument comprising, on the one hand, a tool that is adapted to process anatomical components of an organ, which it is into contact with, and, on the other hand, a reference mark, which is adapted to be spatially identified during the processing of the anatomical components, and wherein the central unit is adapted to know the dimensions of the tool and the distance separating the tool from the reference mark, and to determine the position of the tool in the organ during the treatment. According to a first peculiarity of the third embodiment, the central unit is adapted to make third images that represent the tool used for the treatment, to correlate them with the second images, and to project the correlation so as to permit viewing the tool in the organ being treated. According to a second peculiarity of the third embodiment, since the length of displacement of the tool is equal to the length of displacement of the reference mark, the central unit is adapted to determine the direction and the direction of movement of the tool relative to the anatomical components, which it is into contact with, the direction and the direction of movement of the tool being either equal to the direction and the direction of movement of the reference mark, when the tool is not deformable relative to these anatomical components, or determined by the relief of these anatomical components, when the tool is deformable relative to the latter. According to a third peculiarity of the third embodiment, the central unit is adapted to determine the ideal movement of the tool used to carry out a treatment. According to an advantageous embodiment of the third peculiarity of the third embodiment, the central unit is adapted to guide the user for the tool being used to follow the ideal movement. According to a first preferred embodiment of the advantageous embodiment of the third peculiarity of the third embodiment, the guidance of the user is carried out by displaying the ideal movement on the optical glass correlated with the second images. According to a second preferred embodiment of the advantageous embodiment of the third peculiarity of the third embodiment, the guiding of the user is carried out by emitting a sound signal depending on the position of the tool being used. According to a fourth peculiarity of the third embodiment, the tool being used is identified by an identifier and in that the central unit is adapted to receive the identifier and to determine the corresponding tool. According to an advantageous embodiment of the fourth peculiarity of the third embodiment, the central unit comprises a library of identifiers, each identifier corresponding to a tool that is part of the viewing device. According to a fourth embodiment, the viewing device comprises a camera for taking an optical impression adapted to take an optical impression of an outer surface of an organ arranged in the mouth, the central unit being adapted to correlate fourth images corresponding to those taken by the camera for taking an optical impression with the first images. According to a fifth embodiment, the correlation of the images performed by the central unit is a superposition and/or a replacement of the images on the optical glass. According to a sixth embodiment, the central unit is adapted, at request by the user, to change the contrast and the transparency of the images it processes. According to a seventh embodiment, the penetrating ray emitter is adapted to transmit digitally to the central unit the images it takes. According to an eighth embodiment, the viewing device comprises a scanning device adapted to scan the non-digital images emitted by the penetrating ray emitter and to transmit the scanned images to the central unit. According to a ninth embodiment, the central unit is adapted to project onto the optical glass additional information relating to the patient. According to a first peculiarity of the ninth embodiment, the additional information relating to the patient comprises data to be respected for making a dental prosthesis. According to a second peculiarity of the ninth embodiment, the viewing device comprises at least one peripheral instrument connected to the central unit and adapted to capture additional information relating to the patient. According to an advantageous embodiment of the second peculiarity of the ninth embodiment, one of the peripheral instruments permits either to capture the static occlusion and jaw movements or to capture the color of teeth, or to capture the shape of the face, or to capture of the patient's physiological data. According to a tenth embodiment, the viewing device comprises a microphone adapted to capture control commands proceeding from the user and to transmit them to the central unit. According to an eleventh embodiment, the pair of augmented-reality glasses comprises a spatial marking instrument. According to a twelfth embodiment, the viewing device comprises a lighting system adapted to illuminate the organ arranged in the mouth. According to a peculiarity of the twelfth embodiment, the lighting system comprises light-emitting diodes the wavelength of which is adapted to permit the identification of diseases. According to a thirteenth embodiment, the central unit is adapted to project on a remote screen images relating to the organ arranged in the mouth. According to a fourteenth embodiment, the central unit is adapted to control a numerical-control machine for making a prosthesis relating to the organ arranged in the mouth. Thus, the device according to the invention combines in one and the same field, perfectly correlated or very close, the direct viewing through augmented-reality glasses of the operating area the practitioner sees in the mouth or on the face of the patient through his glasses, the modeling obtained by radiography (RX, ultrasound, MRI or holographic interferometry—OCT), eventually supplemented with the modeling proceeding from the processing resulting from the reading of the optical impression of a very accurate intraoral camera and all the additional information that may help the surgical procedure, which is in turn correlated in the same repository. By additional information, we understand, and this is just one example, the path followed by a canal-treatment, a surgical treatment instrument or by drill bits normally invisible in implantology when we do not use X-rays. This point is extremely important, because it should permit to follow, without increasing the exposures to RX, real-time procedures in the mouth without these being visible through normal glasses. This invention thus fully solves the problems set forth by providing an adaptable inexpensive solution usable in all dental practices in a simplified and patient friendly form. In particular, it solves the many above-mentioned problems: thanks to this new and original organization the practitioner can see through his augmented-reality glasses, in the same field, i.e. in the mouth of his patient, (a) the body part he is analyzing and on which he is working, (b) the sub-gingival and osseous view obtained from the radiology, ultrasound, MRI or holographic interferometry (OCT . . . ) devices, (c) eventually, if he wants accuracy, the modeling he obtains by optical impression with his three-dimensional reading intraoral camera, the three views being totally combined without using the remote screen. Indeed, if the practitioner wants to monitor the evolution of his surgery (implants, extractions . . . ) or endodontics work, he will see through superimposition or any other form viewable as a change in intensity, color or contrast, and this is given only as an example, the supra-gingival surface (teeth and gums . . . ) and the sub-gingival part (bones, nerves, vessels, sinus . . . ) without moving his eyes away from the body area on which he is working and is making his diagnosis. He can therefore monitor in real time or with delay the environment and the result of his supra- and sub-gingival action without taking his eyes away from his operating field. thanks to the matching of this information, he is no longer likely to make harmful and uncontrolled moves of his hands during his work, which advantage is especially important if he wants to permanently monitor his actions in areas inaccessible for the eyes, without using penetrating radiations (RX . . . ). thanks to the elimination of the taking away his eyes from his operating field he will no longer risk causing an injury in the mouth or on the body of his patient, because his actions and the information attached to the result of his action or helping him to achieve them will permanently be visible in his working area. by choosing to make a correlation between the actual view and the sub-gingival and osseous invisible view after processing the information, it is possible to use any kind of method for taking an accurate optical impression, irrespective of it being or not an impression resulting from a method using a structured active light. It is also possible to use any kind of penetrating radiation like X-rays, ultrasound, MRI or holographic interferometry (OCT . . . ). This method of superimposition and/or augmented-reality substitution is fully independent from the type of reading being adopted, as is the additional information from the augmented reality. by using a central unit, he will be able to store the follow-up of all these actions, which is very important during examinations (implantology, temporal or post-operative semiotics . . . ). due to the absence of any eye movements likely to involve strong eye gymnastics at a very high pace, the operation will become very relaxing for the clinician. thanks to the use of glasses having the possibility of displaying an augmented reality it will be possible to provide information in real time or with delay, at the discretion of the clinician, in the operating field. This includes any directly related additional information on the viewed field, like the augmented reality nowadays permits, but also information from additional information sources like that from telemedicine. thanks to the optional additional information from the augmented reality, it also permits: to guide the operator on the site by telemedicine, but also by a personalized expert or learning system when important areas are not treated properly. to show specifically and on site sub-gingival information from a fragile or important environment. to warn the clinician during the surgical procedure when it is not performed perfectly. It is possible, for example, to indicate incomplete root canal treatments, drilling of insufficiently or incorrectly positioned implant cylinders, incomplete extractions or curettage. to reveal and to permit to view on site the dynamic movements of the instruments being used or parts of the body being treated during the performing of difficult extractions, the fitting of implants or the drilling of root canals. to highlight in the mouth the distribution of the dental tissues, for example the proximity of the pulp, during the preparation of cavities for receiving a filling and a crown. to follow in the mouth and in real time the path followed by any instrument the clinician uses, in order to increase his efficiency and to avoid accidents on the environment (veins, nerves . . . ). thanks to the means being implemented, the device is simple to be manufactured, which makes it particularly strong. It also permits: to significantly reduce the manufacturing cost, hence the sales price since the democratization of the electronic elements being used, such as the new generation Condor cameras, the virtual-reality glasses or the LEDs. to choose a wired or a wireless connection, including at the level of the camera, which permits fully free movements of the clinician. to have the stereoscopic natural 3D restitution without being obliged to use 3D screens, which are always expensive and often inefficient. Further aims and advantages of the present invention will become clear from the following description relating to an embodiment given by way of an indicative and non-restrictive example. The understanding of this description will be facilitated when referring to the attached drawings.","CROSS-REFERENCE TO RELATED APPLICATIONS Not applicable. STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT Not applicable. THE NAMES OF PARTIES TO A JOINT RESEARCH AGREEMENT Not applicable. INCORPORATION-BY-REFERENCE OF MATERIAL SUBMITTED ON A COMPACT DISC OR AS A TEXT FILE VIA THE OFFICE ELECTRONIC FILING SYSTEM (EFS-WEB) Not applicable. STATEMENT REGARDING PRIOR DISCLOSURES BY THE INVENTOR OR A JOINT INVENTOR Not applicable. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a device for viewing the inside of a mouth, namely a device permitting to see an inner portion located under an outer surface of an organ arranged in the mouth. 2. Description of Related Art Including Information Disclosed under 37 CFR 1.97 and 37 CFR 1.98 The known devices aimed at viewing in the field of dental treatments of the invisible sub-gingival, coronary, radicular or osseous parts include the following devices: a penetrating ray emitter such as an X-ray device operating in 2D (conventional radiography or radiovisiography), in 2D½ or 3D (scanner, cone beam, panoramic scanner or orthopantomograph) or an MRI device, an ultrasound device, a device operating with terahertz radiation or a device operating with the techniques derived from the holographic interferometry (OCT); eventually an intraoral camera for taking an impression by optical means (radiation ranging from deep blue to X-ray, even ultrasound), whether using a structured projected light or not; and a remote display screen permitting to see, on the one hand, the view of the modeling from the device emitting penetrating rays and, on the other hand, the modeling obtained as a result of the scanning performed using the intra-oral camera displayed after the clinician has performed the optical impression. Radiology devices used in dental treatments can be divided into two large categories, those located close to the dental unit and the remote ones. In the first category we find devices using silver-based, phosphor-based or digital supports (radiovisiography or RVG). Though the silver-based devices are increasingly less used, this is not the case for the other two, since they permit to scan indirectly (phosphor-based supports) or directly (RVG) the pixelated radiological image obtained from osseous tissue by transparency to X-rays. In both cases, the image obtained is scanned in grayscale and displayed in 2D on a screen near the practitioner, in black and white or in reconstructed virtual colors. This image allows him to know the sub-gingival condition of the osseous tissue, but also of the crowns and roots of the teeth. The clinician carries over and intuitively matches the viewed shapes seen on the 2D screen onto the parts visible in the mouth of his patient. This allows him to have a very rough idea of knowing the shape and length of a root, of knowing whether there are pathological pictures and to imagine the position of the nerves and the big blood vessels. If he also wants to monitor over time whether his treatment is effective or not, he will have to make several successive pictures. With the emergence of a more demanding dentistry, in particular addressing the treatments in periodontology and implantology, more complex devices, which represent a second category, have been used. These devices are rarely present in the dental office, but they allow the dentist to have a general view of the entire mouth in 2D, 2D½, even 3D if he uses magnetic resonance (MRI). In this category we have found over the last thirty years the oral scanners (pantographs, panoramic scanners) providing 2D images of the entire arch in one picture, the CT scanner providing 2D½ images that permit, thanks to the different voxel planes, to reconstruct a false 3D image (scanner) and more recently the cone beams combining the advantages of the traditional scanner and the CT scanner providing a very fast and much more accurate 2D½ picture of the osseous tissue. The latter images are widely used in implantology where the practitioner should exactly know the position of the underlying organs such as the sinuses and the various osseous structures when preparing the site for receiving his future implant. In all cases, these spatial 2D½ (or false 3D) images are shown on a remote 2D screen permitting to move them in three planes in space and to know where the interesting areas or risk areas are located. Finally, some practitioners use real 3D images in MRI, but this is still seldom and very expensive. In this case too, the display will occur on a remote monitor. Recently, and in view of the inaccuracy of the radiological image, some clinicians have decided to associate with the inaccurate X-ray image (100 to 200 microns) a much more accurate (10 to 30 microns) image of the outer portion obtained using an intraoral camera for optical impression. By blending the first and the second image, they get on the remote 2D screen a combined view of the tissues and the underlying organs and the optical impression of the teeth and the gums. Unfortunately, though the knowledge of the proximity of an underlying organ is acceptable to within about one hundred microns, this is not true for the accuracy of a crown or the cylinder of an implant, which must be known to within about ten microns. If they use the systems described above for the sub-gingival view, they need in addition an optical impression camera in order to have a sufficiently accurate external view. Nowadays, as a direct result of the works by the inventor François Duret, there exist different kinds of methods for taking an intraoral optical impression in the dental practice, which can be combined in a radiological image. We find: those projecting onto the tooth a structured light, which may be a dot, a line or a complete grid. They have been widely known for several decades and are very well described in the article by G. Hausler and Col “light sectioning with large depth and high resolution” in Appl. Opt. 27 (1988). They can use, for example, projections of grids with variable pitch (“numerical stereo camera” SPIE Vol 283 3-D, 1981), the principle of the profilometric phase (Duret U.S. Pat. No. 5,092,022 and U.S. Pat. No. 4,952,149), the best known of which is the CEREC (Sirona GmbH), the one that combines the projection of the fringe and phase variations of the Hint-Els Company (USA) or the parallel confocal principle such as the Itero (US.0109559) from Cadent (USA). those that do not use the projection of active or structured light, but the stereoscopic interferometry. This is the case of the Lava AWS camera from 3M (Rohaly and Co, U.S. Pat. No. 7,372,642) or the Condor camera from Duret and V & O Querbes (U.S. Pat. No. 8,520,925). Though we can say that all these works and inventions have led to many embodiments and to more than twenty commercially available systems (F. Duret, dental floss No. 63, May 2011, “the great adventure of the CADCAM at the IDS in Cologne” 14-26), none of them has provided an original solution permitting to display the impression of the visible and invisible parts directly in the mouth during and after their taking. All these described methods, implemented in dental offices or in another room for large radiology devices, use the same display system: a remote screen close to or far away from the operator. Irrespective of the complexity of these devices, with all the cameras or radiology devices that we have described above is associated a screen. It can be placed on a kart, be connected to or depending (all-in-one) on a computer or be part of or the whole laptop or tablet. In the case of a data-processing monitor (video, plasma, LCD or LED). The screen is specific to the application, radiological or display of the optical impression being taken. Sometimes it combines the two methods (Planmeca, Carestream) by displaying in two different windows the video picture from the camera view and the modeled picture resulting from the radiological and/or intraoral digital processing. On this same screen can be displayed the practitioner's interactive view that permits him to complete the information relating to the patient: the medical characteristics and the care to be brought or already brought. This is referred to as the patient card. In this case, it is no problem to display this information on a remote screen, since the elements contained in this card are rarely completed during the actions or need not be displayed during same. Although this has already led to making an augmented-reality application, for us it is of little interest to the patient's health. This is not case as regards the displaying of his physiological data during the intervention, as we will see in the accessory applications of our invention. The digital central processing unit (CPU) collects and processes the information proceeding from the intraoral camera and the radiology devices, then displays them on the display screens. We immediately understand that the first problem faced by the operator is to have to look on one or more remote screens at the radiological view and the one proceeding from his intraoral camera. If he uses a silver-base support, he has no option but to use a light box. This obliges him to look away and to never have any accurate match between his clinical space, which is what he sees in his patient's mouth, and the sub-gingival area, which is radiologically known and displayed on the monitor. We understand why the clinician must constantly take his eyes away from his operating field to the remote image. In addition, though he is provided with augmented-reality indications on the remote screen, he must not only make the effort of moving his eyes from his operating field to the monitor, but also of transposing with his brain and virtually these indications and information displayed on the remote 2D screen to the operating field, with the risk of being inaccurate or of doing it wrong. This is extremely uncertain, especially since the only region corresponding to a common volume between the visible part and the sub-gingival part permitting a correlation by the mind is in the radiological view displayed in 2D on the screen, while in the mouth his vision is three-dimensional. The operation is so inaccurate in implantology that the clinicians must use guides, which are secured to the teeth, so that their drill bits do not injure the underlying tissue. We easily understand that seeing indirectly the course and the result of his work is dangerous for the patient, inaccurate, incomplete and extremely damaging in daily practice. We can summarize the issues arising from this way of displaying on a remote screen as follows: this obliges the latter to permanently move his eyes between the body part on which he is working and the remote screen. Indeed, if the practitioner wishes to follow the evolution of his endodontic or surgery work, he must move his eyes away from the body area on which he is working and watch his video or digital screen (monitor) in order to guess where his work is located, this movement can lead to adverse, inaccurate and uncontrolled movements of his hands during his work, which issue is especially important when he works for a long period (fatigue), this movement is dangerous because his eyes regularly leave the operating field at the risk of causing an injury in the patient's mouth or body or of breaking his instruments. this is also very tiring because the existence of a remote display requires eye gymnastics at a very high pace. It is thus possible to have more than 20 to-and-fro movements of his eyes per minute. This excludes any additional directly correlated information about the viewed field as is now possible with the augmented reality. Having no correlation between the actual view and the information proceeding for example from the augmented reality on a remote screen excludes any real time and any accurate information in the operating field. Even though this information appears on the remote screen, the display will never be in real time and the clinician's gesture will not be positioned accurately in the working field. This action is inaccurate: we see that though it is possible to see the underlying tissues on a remote screen, the direct viewing of his work is never secure, because moving his eyes and changing the clinical action area during his work makes difficult the correlation between the two observations. There exists no real correlation between the RX representation and the working field, due to the use of the remote screen. This also applies to any information from the augmented-reality software transferred onto the remote screen. This operation is insufficient: the RX radiation produces a 2D or 2D½ display transferred onto a 2D screen, which makes it especially difficult, even impossible, to estimate what has been x-rayed with respect to what is actually present in front of the operator in 3D eye vision. This medical procedure is not secure: we can say that no simple and especially secure solution has been found to meet the needs of the clinician. For his action to be secure, he must see the area that has been X-rayed and the area on which he is working combined in real time in one and the same repository. This is the essential condition for being able to work safely, quickly, with total comfort and with the accuracy required for this type of intervention. BRIEF SUMMARY OF THE INVENTION The present invention aims at coping with these aforementioned drawbacks by providing a new viewing device. The invention relates to a device for viewing the inside of a patient's mouth, the viewing device comprising a penetrating ray emitter adapted to take a picture of an inner portion located under an outer surface of an organ arranged in the mouth, wherein it comprises a pair of augmented-reality glasses having, on the one hand, an optical glass through which a user of the pair of glasses can see the inside of the mouth, and, on the other hand, a viewing camera adapted for taking an image of what the user sees through the optical glass, a central unit being adapted to correlate first images corresponding to those taken by the viewing camera with second images corresponding to those taken by the viewing camera with second images corresponding to those taken by the penetrating ray emitter. According to a first embodiment, the central unit is adapted to orient the second images depending on the orientation of the pair of augmented-reality glasses. According to a second embodiment, the central unit is adapted to project onto the optical glass the correlation of the first images with the second images. According to a peculiarity of the second embodiment, the central unit is adapted to project onto the optical glass, at request by the user, images from a selection of anatomical components of the organ taken by the penetrating ray emitter. According to a third embodiment, the viewing device includes a medical treatment instrument comprising, on the one hand, a tool that is adapted to process anatomical components of an organ, which it is into contact with, and, on the other hand, a reference mark, which is adapted to be spatially identified during the processing of the anatomical components, and wherein the central unit is adapted to know the dimensions of the tool and the distance separating the tool from the reference mark, and to determine the position of the tool in the organ during the treatment. According to a first peculiarity of the third embodiment, the central unit is adapted to make third images that represent the tool used for the treatment, to correlate them with the second images, and to project the correlation so as to permit viewing the tool in the organ being treated. According to a second peculiarity of the third embodiment, since the length of displacement of the tool is equal to the length of displacement of the reference mark, the central unit is adapted to determine the direction and the direction of movement of the tool relative to the anatomical components, which it is into contact with, the direction and the direction of movement of the tool being either equal to the direction and the direction of movement of the reference mark, when the tool is not deformable relative to these anatomical components, or determined by the relief of these anatomical components, when the tool is deformable relative to the latter. According to a third peculiarity of the third embodiment, the central unit is adapted to determine the ideal movement of the tool used to carry out a treatment. According to an advantageous embodiment of the third peculiarity of the third embodiment, the central unit is adapted to guide the user for the tool being used to follow the ideal movement. According to a first preferred embodiment of the advantageous embodiment of the third peculiarity of the third embodiment, the guidance of the user is carried out by displaying the ideal movement on the optical glass correlated with the second images. According to a second preferred embodiment of the advantageous embodiment of the third peculiarity of the third embodiment, the guiding of the user is carried out by emitting a sound signal depending on the position of the tool being used. According to a fourth peculiarity of the third embodiment, the tool being used is identified by an identifier and in that the central unit is adapted to receive the identifier and to determine the corresponding tool. According to an advantageous embodiment of the fourth peculiarity of the third embodiment, the central unit comprises a library of identifiers, each identifier corresponding to a tool that is part of the viewing device. According to a fourth embodiment, the viewing device comprises a camera for taking an optical impression adapted to take an optical impression of an outer surface of an organ arranged in the mouth, the central unit being adapted to correlate fourth images corresponding to those taken by the camera for taking an optical impression with the first images. According to a fifth embodiment, the correlation of the images performed by the central unit is a superposition and/or a replacement of the images on the optical glass. According to a sixth embodiment, the central unit is adapted, at request by the user, to change the contrast and the transparency of the images it processes. According to a seventh embodiment, the penetrating ray emitter is adapted to transmit digitally to the central unit the images it takes. According to an eighth embodiment, the viewing device comprises a scanning device adapted to scan the non-digital images emitted by the penetrating ray emitter and to transmit the scanned images to the central unit. According to a ninth embodiment, the central unit is adapted to project onto the optical glass additional information relating to the patient. According to a first peculiarity of the ninth embodiment, the additional information relating to the patient comprises data to be respected for making a dental prosthesis. According to a second peculiarity of the ninth embodiment, the viewing device comprises at least one peripheral instrument connected to the central unit and adapted to capture additional information relating to the patient. According to an advantageous embodiment of the second peculiarity of the ninth embodiment, one of the peripheral instruments permits either to capture the static occlusion and jaw movements or to capture the color of teeth, or to capture the shape of the face, or to capture of the patient's physiological data. According to a tenth embodiment, the viewing device comprises a microphone adapted to capture control commands proceeding from the user and to transmit them to the central unit. According to an eleventh embodiment, the pair of augmented-reality glasses comprises a spatial marking instrument. According to a twelfth embodiment, the viewing device comprises a lighting system adapted to illuminate the organ arranged in the mouth. According to a peculiarity of the twelfth embodiment, the lighting system comprises light-emitting diodes the wavelength of which is adapted to permit the identification of diseases. According to a thirteenth embodiment, the central unit is adapted to project on a remote screen images relating to the organ arranged in the mouth. According to a fourteenth embodiment, the central unit is adapted to control a numerical-control machine for making a prosthesis relating to the organ arranged in the mouth. Thus, the device according to the invention combines in one and the same field, perfectly correlated or very close, the direct viewing through augmented-reality glasses of the operating area the practitioner sees in the mouth or on the face of the patient through his glasses, the modeling obtained by radiography (RX, ultrasound, MRI or holographic interferometry—OCT), eventually supplemented with the modeling proceeding from the processing resulting from the reading of the optical impression of a very accurate intraoral camera and all the additional information that may help the surgical procedure, which is in turn correlated in the same repository. By additional information, we understand, and this is just one example, the path followed by a canal-treatment, a surgical treatment instrument or by drill bits normally invisible in implantology when we do not use X-rays. This point is extremely important, because it should permit to follow, without increasing the exposures to RX, real-time procedures in the mouth without these being visible through normal glasses. This invention thus fully solves the problems set forth by providing an adaptable inexpensive solution usable in all dental practices in a simplified and patient friendly form. In particular, it solves the many above-mentioned problems: thanks to this new and original organization the practitioner can see through his augmented-reality glasses, in the same field, i.e. in the mouth of his patient, (a) the body part he is analyzing and on which he is working, (b) the sub-gingival and osseous view obtained from the radiology, ultrasound, MRI or holographic interferometry (OCT . . . ) devices, (c) eventually, if he wants accuracy, the modeling he obtains by optical impression with his three-dimensional reading intraoral camera, the three views being totally combined without using the remote screen. Indeed, if the practitioner wants to monitor the evolution of his surgery (implants, extractions . . . ) or endodontics work, he will see through superimposition or any other form viewable as a change in intensity, color or contrast, and this is given only as an example, the supra-gingival surface (teeth and gums . . . ) and the sub-gingival part (bones, nerves, vessels, sinus . . . ) without moving his eyes away from the body area on which he is working and is making his diagnosis. He can therefore monitor in real time or with delay the environment and the result of his supra- and sub-gingival action without taking his eyes away from his operating field. thanks to the matching of this information, he is no longer likely to make harmful and uncontrolled moves of his hands during his work, which advantage is especially important if he wants to permanently monitor his actions in areas inaccessible for the eyes, without using penetrating radiations (RX . . . ). thanks to the elimination of the taking away his eyes from his operating field he will no longer risk causing an injury in the mouth or on the body of his patient, because his actions and the information attached to the result of his action or helping him to achieve them will permanently be visible in his working area. by choosing to make a correlation between the actual view and the sub-gingival and osseous invisible view after processing the information, it is possible to use any kind of method for taking an accurate optical impression, irrespective of it being or not an impression resulting from a method using a structured active light. It is also possible to use any kind of penetrating radiation like X-rays, ultrasound, MRI or holographic interferometry (OCT . . . ). This method of superimposition and/or augmented-reality substitution is fully independent from the type of reading being adopted, as is the additional information from the augmented reality. by using a central unit, he will be able to store the follow-up of all these actions, which is very important during examinations (implantology, temporal or post-operative semiotics . . . ). due to the absence of any eye movements likely to involve strong eye gymnastics at a very high pace, the operation will become very relaxing for the clinician. thanks to the use of glasses having the possibility of displaying an augmented reality it will be possible to provide information in real time or with delay, at the discretion of the clinician, in the operating field. This includes any directly related additional information on the viewed field, like the augmented reality nowadays permits, but also information from additional information sources like that from telemedicine. thanks to the optional additional information from the augmented reality, it also permits: to guide the operator on the site by telemedicine, but also by a personalized expert or learning system when important areas are not treated properly. to show specifically and on site sub-gingival information from a fragile or important environment. to warn the clinician during the surgical procedure when it is not performed perfectly. It is possible, for example, to indicate incomplete root canal treatments, drilling of insufficiently or incorrectly positioned implant cylinders, incomplete extractions or curettage. to reveal and to permit to view on site the dynamic movements of the instruments being used or parts of the body being treated during the performing of difficult extractions, the fitting of implants or the drilling of root canals. to highlight in the mouth the distribution of the dental tissues, for example the proximity of the pulp, during the preparation of cavities for receiving a filling and a crown. to follow in the mouth and in real time the path followed by any instrument the clinician uses, in order to increase his efficiency and to avoid accidents on the environment (veins, nerves . . . ). thanks to the means being implemented, the device is simple to be manufactured, which makes it particularly strong. It also permits: to significantly reduce the manufacturing cost, hence the sales price since the democratization of the electronic elements being used, such as the new generation Condor cameras, the virtual-reality glasses or the LEDs. to choose a wired or a wireless connection, including at the level of the camera, which permits fully free movements of the clinician. to have the stereoscopic natural 3D restitution without being obliged to use 3D screens, which are always expensive and often inefficient. Further aims and advantages of the present invention will become clear from the following description relating to an embodiment given by way of an indicative and non-restrictive example. The understanding of this description will be facilitated when referring to the attached drawings. BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS FIG. 1 is a schematic view of a representation of the whole of the device comprising all the main elements necessary for its proper operation, but also the additional peripheral, but not compulsory elements; FIG. 2 is a schematic view of an overall representation of the partially made prototype including the camera, the connectors, the computer (here a laptop) and eventually a box containing the processing card. FIG. 3 represents a complete diagram of the essential elements of the device specific for the invention. FIGS. 4A, 4B, 4C, 4D, 4E, 4F and 4G show schematic views of the various steps of correlation between the visible and invisible part permitting to create the complemented object based on their common areas, here the crowns of the teeth. FIGS. 5A, 5B, 5C and 5D show various schematic views of a complemented object observed by the clinician in the mouth of his patient through augmented-reality glasses when he moves his eyes. FIGS. 6A, 6B, 6C and 6D show schematic views of the various planes that can be observed by the clinician in the mouth of the complemented object when he uses the transparency function of the present invention. FIG. 7 represents the schematic view of the complemented object in the application of the present invention during the making of prosthetic preparations. FIGS. 8A, 8B, and 8C show schematic views of the complemented object observed in the mouth by the practitioner when using a stored or recognizable instrument deformable for a root canal treatment or non-deformable for drilling an implant or a surgery operation. FIG. 9 is a diagram representing the various steps of the clinical manipulation permitting the implementation of the present invention. DETAILED DESCRIPTION OF THE INVENTION The present invention relates to a novel device in the dental field for intraoral viewing and/or measuring directly on the working site, i.e. in the patient's mouth, bringing together in one and the same three-dimensional or slightly shifted repository (a) the direct view of the teeth and gums in the patient's mouth through the augmented-reality glasses, (b) one or more modelings from taking radiological impressions—OCT and/or MRI, (c) one or more references or modelings from the cameras present on the augmented-reality glasses through which the practitioner views the patient's mouth, (d) eventually one or more references or modeling from an optical impression using structured light or not made using an intraoral camera, so that they complement and/or replace each other in order to enrich themselves using the principle of the augmented reality, and (e) eventually additional information associated herewith and provided by other peripheral devices, in order to permit the clinician to never take his eyes away from his intraoral working site, namely during gingival, coronary, root canal, surgical or bone treatment, in order to secure, facilitate and optimize his clinical action, this application being however non-restrictive in that the device is also applicable in the follow-up of all the clinical activities of the dental office. To this end, this device according to the invention permits to view directly in the patient's mouth, through augmented-reality glasses, and in a way perfectly correlated, both the visible and the invisible part of the mouth in the form of one single object, which is here referred to as the complemented object. The visible part is the surface of the teeth and the gums, the tongue and inner side of the cheeks. It can be seen directly through the glasses, but it can also be seen in the form of a scanned view resulting from the reading of a stereo-dynamic camera, or of several cameras located on the glasses or, more accurately, thanks to the digitized picture obtained by the scanning performed using the intraoral camera. The scanning can be substituted, without this being compulsory, by a direct vision in the scanned parts from the less accurate to the more accurate one, i.e. in the order of the most accurate domination (the intraoral camera) replaces the less accurate (camera of the glasses), which can in turn be substituted by the non-digitized direct view. The invisible part results from a reading made separately before the therapeutic action through peripheral devices capable of providing RX, MRI, terahertz or ultrasonic images of the invisible parts located under the teeth, under the gums or under the skin, as are the bone, the epithelial and conjunctive tissues, the blood vessels and the nerves. These devices permit to statically or dynamically know, store and scan the invisible underlying anatomy. For these two volume units, the visible and invisible part then forms one single unit, the central unit seeks the common parts and combines the two objects based on these common parts. These common parts can be anatomical objects, such as the crowns of the teeth or applied objects such as the locating wedges fixed in the mouth, for example on the teeth, if one wishes to avoid any abnormal mobility. These wedges or these anatomical reference marks are also used as an identification for following up the movement of the instruments made for this purpose. Preferably and in some cases, it is possible to scan simultaneously invisible and visible parts. This is the case if we use an ultrasonic or terahertz device. To this end, the invention is comprised of 1) a viewing device operating in real time or with a delay using augmented-reality glasses, which a three-dimensional spatial locating system (at least accelerometers/gyroscope and cameras) can be associated to and the function of which is to permit the practitioner not only to see his operating field in direct vision, but also to have punctual indications or external views, as all the glasses of this kind permit for assisting surgery, which permits him to normally follow the normally visible progression of his work (for example root canal treatment or surgical actions), i.e. the external part of the teeth and gums. It also permits him to add in addition correlated images, and this is the essential feature of the invention, resulting from 2) a second peripheral device. This second peripheral device is capable of providing RX, MRI, or terahertz ultrasonic images of the invisible parts that are located under the teeth and under the gums, such as the bone, the epithelial and conjunctive tissues, the blood vessels and the nerves, and to permit to know, store and scan the invisible underlying anatomy. The two devices 1) and 2) are dependent 3) on a central unit the function of which is to scan the views from the cameras located on the glasses and on the peripheral device in order to correlate them to combine them in one and the same repository, so that the clinician sees in the mouth of his patient, through his augmented-reality glasses, one single object from the combination of the view he naturally gets through his augmented-reality glasses, with which is combined permanently, dynamically and in real or almost real time, the various information from both external elements, the teeth and the gums, but also of the invisible elements, which permits the latter to have in its field of view in the mouth his patient the visible part, but also the invisible part located under the gums and under the teeth. This thus permits the user to follow his action without taking his eyes away and to know the consequence of his action in a portion normally inaccessible to his eyes in the mouth. It should be noted that the present device, in order to avoid a permanent irradiation of the patient, needs only at least an initial 3D image and that he will correlate it in real time or almost real time depending on the viewing field, varying depending on the direction of the eyes of the practitioner, the cameras on augmented-reality glasses are filming. To this device will preferably be added 4) an intraoral camera for taking an accurate optical impression using coherent radiation or not, with or without projection of active /or structured light the function of which is to perform a very accurate record of the shapes and colors of the anatomy present in the visible part of the patient's mouth, such as his teeth and/or his gums, this taking of an impression being correlated and combined by the central unit 3) with the previous views and more particularly with the less accurate view from the cameras carried by the augmented-reality glasses 1), but also and therefore with the sub-epithelial images from the external peripheral device 2). This permits the clinician to have in his working field an extremely accurate view of the portion resulting from the augmented-reality treatment. Eventually and preferably, there will be added 5) a lighting system on the intraoral camera or on the glasses, the function of which is to optimize the diagnostic analysis such as for example the highlighting by special radiation of the carious areas on the hard tissues or tumors on the soft tissues. In order to follow the movements in endodontics or surgery, it is enough to correlate the displayed instruments, known or calibrated on the double view—visible and invisible. This process permits to optimize the therapeutic action of the clinician by significantly increasing the safety necessary for his actions while ensuring the structural integrity of the human body and providing accuracy within one micron. It permits especially to completely release the practitioner from determined constraints obliging him to watch a remote screen, to correlate different images of the visible and invisible area and to stay close to his working unit. The invention comprises a hardware device and a software system. The hardware device associates 1) a system for direct dental viewing of the visible tissues associated with the specific and miniaturized augmented reality, 2) a system for scanning the underlying tissues invisible to the naked eye, 3) a central unit for analog/digital conversion, management and correlation of the data, 4) eventually an accurate intraoral three-dimensional reading camera with or without structured light, 5) eventually an intraoral illumination specific for diagnosis, and 6) calibrated and known instruments used in the visible and invisible fields. To this end, the object of the present invention is a device comprising specifically a viewing/capturing system with augmented-reality glasses, a device permitting to scan the parts invisible to the naked eye, a central unit, an intraoral accurate scanning camera, a lighting system and auxiliary devices. The display/capturing system with augmented-reality glasses 1 permits to see the area of therapeutic action in direct viewing, while being able to correlate with same, then to add to same, when they have common connecting areas, additional information invisible to the eye directly from independent peripheral devices such as the images from the RX, MRI, terahertz or ultrasonic reading systems. This viewing, displaying and capturing system may consist, for example, and this is only a non-restrictive example for the invention, of “Google Glass”, “Vuzix Smart Glass”, “Sony”, “K-Glass” or “HoloLens” glasses. To these glasses are added one or more cameras permitting to readjust permanently and in real time by successive tracking the modeling resulting from the reading of the sub-gingival peripheral devices using common markers such as, and this is only an example, the crowns of the teeth or markers voluntarily placed on their surfaces or on the gum on what the clinician sees in the patient's mouth. Eventually, advantageously according to an additional feature of the device according to the invention, for financial reasons, the device can be based on a 2D viewing, the essential function of the glasses is to show additional information with inaccurate adjustment on the working area in relief. The central unit is nowadays capable of correlating the 2D views on a 3D pattern. It can also create a 3D image using two or more 2D radiologic images by applying equations that are now well known. In the case of a 2D½ or 3D viewing, i.e. since these glasses have spatial vision, generally using stereoscopy, without this being systematic, the correlation is very accurate and the indications occur on parts of the body read in 3 dimensions. This is made possible by the presence of dedicated specific screens existing on this kind of glasses. Advantageously and according to an additional feature of the device according to the invention, the presence of a mini-USB mini-micro on the arm (right arm in the case of Google glass) permits to give orders for viewing and showing augmented-reality information without the operator having to move his eyes from his working area. The device permitting to scan the parts invisible to the eye 2 can be an analogue (then passing through a scanning tablet) or a 2D or 2D½ digital radiology system for example, and this is not a limitation of the invention, such as a RVG scanner or tomography. It can also use penetrating coherent optical systems, such as for example the OCT. It can also use the 3D imaging principles of MRI or beta cameras. Very recently appeared the terahertz imaging. This has the disadvantage of still being inaccurate, but has a big advantage of using a non-ionizing vector. It can be used as a peripheral device, part of the invention. This also applies to all ultrasonic systems, irrespective of their type. The aim of this second specific component of the invention is to collect the information invisible to the eye, in order to create a second object permitting to complement the object created during the viewing of the visible parts. The central unit 3 permits the conversion of the analog/digital data and the management of these data. The advantage of this system is to scan the data proceeding from the cameras located on the glasses, to scan and/or to collect the images proceeding from the peripheral devices (RX, MRI, OCT, ultrasonic devices . . . ), then to combine them in order to obtain one single cloud of dots in order to form one single object. In addition to this combination, advantageously and according to an additional feature of the device according to the invention, the central unit directs the invisible part depending on the orientation of the clinician's eyes, this indication being provided by the cameras, via the reference mark, and/or the additional systems such as the gyroscopes or other devices permitting to know the positioning of an object, here the augmented-reality glasses, in space. Thanks to this application of our invention, the central unit can follow the variation of the spatial position of the eyes, which will permit to not only see the invisible part, but also to the view it directly in the patient's mouth according to different viewing angles. This feature is important, because clinically determined anatomical structures can mask important areas. The practitioner, by shifting his eyes, will be able to see what was hidden in the previous viewing angle. Advantageously and according to an additional feature of the device according to the invention, the central unit can show preferably the vessels, the nerves, the bone, the roots, because the current software is able to automatically detect these anatomical structures and display them in different colors. This distinction enables the practitioner to know his working field, to select it, but also to adapt to the anatomy specific to the patient being treated. The invention permits to switch from the standard anatomy to the customized anatomy, which is particularly important in implantology or in dental surgery. The dentist thus seen in his patient's mouth the teeth, the gums, but also all the underlying structures such as the roots of the teeth, the blood vessels, the nerves . . . , according to all the angles and selectively, eventually with specific colors. The intraoral accurate scanning camera 4 permits to scan one or more teeth by optical impression using photonic, whether or not consistent, or ultrasonic radiation. The invention can use all the cameras used in the dental and medical world, which shows the openness and universality of the invention. This camera can perform its metric recordings using structured-light projections. In this case, the camera possesses two or more than two combined or separate channels, one for projecting and the other one for picking up the image. A LED, OLED, halogen, plasma or laser structured-light system projects onto the teeth a radiation in the form of dots, lines or known and structured frames. This structured projection is deformed depending on the surfaces onto which it strikes, and this deformation is transmitted to a sensor through the image pickup path. This permits the camera, by comparison between the characteristics of the projected or stored light and the light deformed in space and/or over time, which arrives at the sensor, to know the shape and dimensions of the teeth being analyzed. There exist numerous intraoral cameras that meet these characteristics. Advantageously and according to an additional feature of the device according to the invention, this camera may use any system for measuring and analyzing the shapes of the teeth and/or gums without projecting structured light. To this end, it may use single- or multi-camera telemetric or stereoscopic methods. This system has the advantage of being easier to be designed, but requires developing more complex software such as those developed for space. We find some intraoral cameras, for example and this is only a non-restrictive example, the one we have developed as the Condor camera. Advantageously and according to an additional feature of the device according to the invention, it may also comprise cameras associating the two technologies or other principles such as the OCT, the ultrasound or the X-rays, since these provide metric information on the area and the body being examined. It is of course possible to use natural light, but since the function of this kind of camera is to operate in dark or areas difficult to be accessed (e.g. the mouth), it is possible to have a lighting system 5 permitting a well-sized illumination of the working area. Advantageously and according to an additional feature of the device according to the invention, the lighting system can show information on the objects being measured in augmented reality and in 3 dimensions depending on the type of lighting being used. Indeed, according to the choice of determined wavelengths, it is possible to determine and/or to find determined anatomical and pathological elements of the oral-facial sphere invisible or little visible to the eye and to show them in the operating field, in the form of augmented-reality information, unlike the direct viewings in 2D on a remote video screen. This permits the diagnosis, but also some wedging elements permitting the correlation between the image of the visible part and the underlying part, in order to build the complemented object. The auxiliary peripheral devices can be: a source of information 6 proceeding directly from the stored functions or from intra- or extramural software (telemedicine) providing additional information permitting to assist the medical procedure of taking an impression and during the preparation. one or several peripheral stations 7, where is visible the information, which the clinician works with and which can be seen by his assistants, so that they can follow and enrich in real time or with delay (assistance or teaching . . . ). This treatment may be video and/or digital treatment. intraoral instruments calibrated and correlated with the image of the visible and invisible part permit to follow the real-time movements in the invisible part. A machine-tool with numerical control 8 that can, at any time, make a real part from the captured virtual image, so that this device finds its full application in the dental CFAO chain invented in 1970 by Francois Duret, co-inventor of this patent. Associated advantageously and according to an additional feature of the device according to the invention, for transmitting the data from the device or its peripheral devices, are: a cable, telephone, Bluetooth or WiFi transmission of all data. an additional hardware system for processing, dialogue/viewing with the operator, the assistants and/or the central unit, for transmission and storage of the information, the orders and the data as permitted by the microphone of the display system or another form of communication. In accordance with this hardware mounting is provided a software method that meets the requirements of quickness and accuracy necessary for the specialist in dentistry and permitting to significantly facilitate his surgical procedure. The original software system comprises: a real-time 3D reconstruction scheme from 2 streams of 2D images proceeding from two or more cameras of the augmented-reality viewing system; a real-time 3D reconstruction scheme from a stream of 2D, 2D½ or 3D images proceeding from one single Rx and other peripheral device capable of viewing the elements invisible to the eye; an algorithm for searching points of interest on the three algorithms for searching an optical trace (projection on several different cameras of the same 3D point) by calculating points of interest and matching through images; an algorithm for automatic sequencing in real-time of the stream of images into spatially coherent subsequences permitting to follow the movement of the clinician's eyes; an algorithm for estimation in parallel of the positions of the cameras in space and the coordinates of the 3D points thanks to the optical traces; an algorithm for 3D interpolation of clouds of points; an algorithm for polygonization of clouds of 3D points and texture calculation; an algorithm for scaling the 3D reconstructions; two algorithms for enhancing the spatial accuracy; two algorithms for selecting the anatomical elements taking into account, among other things, the changes in contrast and density; an algorithm for displaying the complemented object enriched with the selections of display of the anatomical elements in the complemented object; and algorithms for correlation of the dynamic movements of instruments known to and used by the practitioner. The overall organization of the algorithm is as follows: The stream of images proceeding from the camera or cameras is processed in real time in order to produce a first 3D reconstruction viewable by the user as he moves his eyes about the object. The overall scheme of 3D reconstruction in real time and organization of the data vary depending on the availability of two (or more) cameras of the augmented-reality system 1 and the peripheral device 2 capturing the invisible information with delay. Each newly acquired image is first processed by the algorithm for searching an optical trace. Based on the correspondences, the sequencing algorithm then updates the sequencing of the video stream for a better temporal performance. The parallel estimation algorithm then permits, thanks to the optical traces 1 of the peripheral devices 2 (RX, ultrasonic, MRI . . . ) a) to find the camera positions in space at the time of acquisition and b) to generate the cloud of 3D point projecting on the optical traces of the cameras on the glasses and the peripheral devices. The single cloud of points generated is then interpolated (algorithm) in order to obtain a denser cloud, and an implicit interpolation function is calculated. Thanks to this function, a textured polygonization of the surface to be reconstructed (algorithm) is obtained. At this step, it is also possible to compute quality indexes of the final cloud of points. Determined points (or determined areas) can thus be labeled as invalid or as particular (bone, blood vessels, bone, roots . . . ). The textured surface is finally displayed on the screen on the augmented-reality glasses, in correspondence with the direct view, eventually with appropriate annotations for indicating the still particular areas selected a priori by the clinician. The surface generated in real time is a representation without spatial dimension representing, to within a scale factor, the reconstructed area. This scale factor can be calculated by the algorithm by hidden computation in almost real time or in real time or with a delay when the acquisition is completed. Finally, the final 3D model can have its accuracy enhanced by the algorithm, so as to have the most accurate reconstruction possible. This algorithm recalculates a cloud of 3D points, taking into account all the views being acquired. This cloud is then interpolated by the algorithm. Finally, a space carving algorithm reconstructs the displayed global 3D model. We also know that the radiological images are generally carrying information in clouds of 3D points carried over onto elementary units, the voxels, which can be directly correlated to the cloud of points obtained at the level of the accurate view made by the intraoral camera. On the other hand, it is impossible to combine the radiological views directly in the mouth with the views of optical impressions. The operator must follow on a remote screen the subcutaneous anatomic environment in which he works and intellectually carry over this view in the space of his operating field. This very often leads to assessment errors, especially if we admit the deflection phenomenon, i.e. that an inaccuracy of some degrees on an axis of insertion of an implant or prosthesis, a file for drilling dental canals or a trocar in medicine will result into an error of several millimeters to one centimeter of depth in the bone. The risk of injury to an organ of the human body, such as nerves, arteries and veins is therefore important. Advantageously and according to an additional feature of the device according to the invention, it is possible to make a triple combination performed at the level of the central unit 3: the one of the accurate optical impression obtained using the intraoral camera 4, the one obtained at the level of the radiological analysis 2, whether in 2D, 2D½ or 3D, and the one observed by the cameras, through the augmented-reality glasses, although less accurate, but serving as a support for the previous two. Therefore, the device according to the invention permits the clinician to see, without having to take his eyes away, not only an accurate surface modeling, like any known system for taking an optical impression, but in addition, a modeling of what is invisible in his operating field, i.e. the sub-epithelial and osseous part, combined with the external portion. Therefore, he has in front one single operating field where are visible the external parts and the normally invisible internal parts. Advantageously and according to the invention, it is possible to follow the movements of the dental surgery instruments both in the roots (endodontics) and in the bone (surgery and implantology), ensuring a control of actions so far impossible in real time. Thus, it is possible to perform root or bone scans and treatments by following the movement of the working instrument in the invisible parts, since the latter was calibrated in the repository of the taking of an optical and/or radiological impression. The practitioner sees through his augmented-reality glasses the outside of the crown, carried over by the visible, even accurate view 4 combined with the general view and through the glasses 1 and increased from the invisible view of the root (length and shape) proceeding directly from the peripheral RX, MRI or tetrahertz camera device 2, but also, which is fundamental, advantageous, and according to an additional feature of the device according to the invention, the movement of his working instruments inside this root or the bone (in surgery and implantology). The figures represent different implementations of the device showing all the possibilities it provides in the daily practice of the dental surgeon: the augmented-reality glasses and the inaccurate viewing cameras 1, the peripheral devices viewing the invisible parts 2, the central unit 3 scanning and correlating the two visible and invisible views, the very accurate intraoral camera for the visible views 4, and the specific lighting 5. FIG. 1 is a representation of the invention, in the form of a didactic drawing, showing the essential and auxiliary elements that can be implemented in this enriched viewing device that combines into one single view the visible and invisible parts, thanks to the augmented-reality method, and permitting the operator to never take his eyes away from his operating field when he carries out his measurements and/or diagnosis and/or his clinical actions, which device finds a particular interest in the areas of dentistry. The device comprises augmented-reality glasses 1, such as for example Google glass, but this is not restrictive, since there are other glasses of this type, the practitioner 6 has a stereoscopic natural vision of the mouth, visible on the screen 7, thus of the area he measures and examines 8. When the operator looks at this working area, the stereoscopic camera or cameras 9 being part of the glasses, observe the same scene and are capable of performing a survey of information leading to the creation of a so-called viewing cloud of points. Since the dentist's head can move relative to the observed area, there have been added 3D accelerometer/gyroscope/magnetometer 10 close to the eyes, facilitating the following in space of the clinician's observation axis. This is not compulsory, because the software can use the connecting areas, but greatly facilitates the work of the central unit, which performs the dynamical correlation of the visible and invisible part (hereinafter referred to as complemented part) when the observer must move his eyes outside the working area, and turn back to same to continue his work. This dynamic correlation results into the fact that, irrespective of the viewing angle, the clinician sees both parts according to different angles, which can be fundamental when, in the invisible part, an anatomical structure, for example a tooth root, hides a pathology or an area to be worked. The invisible file of the mouth is provided by the peripheral imaging system 2. This may be a scanner or tomography systems providing, by assembling their segments, a ½ 2D view showing preferably osseous structures. In order to have a more complete view, very powerful software were added, which permits to distinguish the soft tissue in radiological images with few deformations. This was necessary in implantology where the procedure must be accurate if we do not want to risk injuring an anatomical element such as the nerves or the blood vessels. The cone beam falls within this category, it is increasingly often used, because it provides sufficient indications about the invisible hard tissues and the soft tissues, without deforming too much the 2D½ view provided after the reconstruction software. It is possible to have more accurate information directly in 3D in the implementation of the present invention by using a more complex and more expensive imaging technique, such as the MRI or the beta-cameras. Finally, yet as a peripheral device 2 of the present invention, more recent techniques such as OCT (coherent tomography optics) or imaging by terahertz can be implemented, which have the advantage of not being, in common with the MRI, ionizing. Finally, there is the ultrasound imaging, which can permit to view the underlying tissues in real time, as described in Patent FR 83.07840 of May 4, 1983 “method for capturing the shape of human organs or pathological anomalies and device for its implementation”. Even though it cannot be excluded from the present invention, the problem of the ultrasound remains its inaccuracy. In all cases, the current peripheral devices 2 permit to scan the invisible part of the mouth and to separate the different anatomical components in order to let them appear or disappear specifically, because these techniques can nowadays distinguish the vein from the artery, the nerves from the blood vessels, the roots (very dense) from the bone and the root canal from the rest of the root. This will be very important in clinical manipulation, specific to this invention, which we will describe later. The third part of the present device is the central unit 3 in charge of managing the digital information from the surface of the visible parts transmitted by the cameras of the augmented-reality glasses and those invisible parts transmitted in real time (e.g. ultrasound) or with delay (e.g. cone beam). In particular, it will have to find the common areas permitting to correlate the two clouds of points leading to the construction of one single complemented object (combining the visible and invisible parts into one single cloud of points). This is to carry over at any time the invisible view onto the visible view the clinician observes relying on common elements. It is also to make this invisible part dominant over the visible part with an adjustable transparency index. The present invention includes accessorily a camera for taking an optical impression 4 permitting the dentist 6 or doctor to perform his 3D measurements in the mouth or on the skin of his patient with high accuracy. Since this measurement is very accurate (to within a few microns) and very close to the teeth, the field depth is very small, which is why he must proceed to a scanning of all the teeth 8, by successive picture (one shoot impression) or by 3D filming (full motion). In this case, the two measurements, the one obtained with the intraoral camera 4 and the one obtained with the cameras of the glasses with augmented reality 1, provide two files corresponding to the same area, but which have not the same accuracy. These files can be simple electro-optical information or more sophisticated information, such as digital representations in the form of clouds of points or even surface or volume modelings. In all cases, common values exist in these two files, which are also used to obtain the complemented object, such as for example the points located in easily identifiable areas, such as the top of the cusps of the teeth 8 or the bottom of their grooves. These common reference values permit the central unit 3 to combine the two files into a single one, while preserving their specificities. Also, the use of a specific light 5 can facilitate the 3D reading of the teeth that have a very specular reflection. This invention is perfectly compatible with this kind of camera invented by Duret in 1970 (DDS thesis, 2nd cycle Lyon—France 1973). Thus, the specific light can be an active and structured projection such as projection of grids or other patterns. It is also possible to use cameras that do not use structured light, but based on the principles of passive stereoscopy (AWS or the like) or on the technique such as the time flight or holographic techniques or its derivatives such as OCT. This new device is fully universal and applicable to any form of viewing and/or intraoral localized measurements. Unlike the architectural techniques conventionally used by the augmented-reality glasses that search for specific points, it uses a dual optical impression, the one proceeding from the intraoral cameras 4 and the one performed at the same time or with a delay through augmented-reality glasses 1 in order to enrich them and/or to replace them depending on their degree of accuracy. Likewise, it is possible to export the data in order to view them on a peripheral screen 7 for his assistants with whom he communicates by means of a microphone on the glasses or an independent microphone 11 or also to use them to carry out a machining 12 implant guides or anatomical parts during the work on the patient 13, which permits him to better understand the immediate environment during his working in the mouth. This machining can be done by subtraction (conventional machining by milling) or by addition (non-conventional machining such as laser melting or stereo lithography). FIG. 2 shows the invention in the form of a prototype, a part of which has already been made. In the case being presented is used an intraoral reading camera 4 in passive stereoscopy and with special lighting 5 for measuring the visible part of the mouth (teeth and gums). The central unit 3 is powerful and conventional, but the software is specific to the invention. The glasses used are the classic Google Glass 1, to which accelerometers and two cameras are attached. The machine tool 17 is a material-removing machine adapted by the inventor's laboratory. FIG. 3 is important, because it is the diagrammatic representation of the core of the device, object of the invention. It shows augmented-reality viewing glasses 1 permitting the clinician to see the complemented object, i.e. his operating field visible with direct vision, but also the perfectly correlated and digitized visible and invisible parts in the form a single combined virtual object with direct vision. The peripheral devices 2 capable of transmitting the information about the invisible part of the mouth are connected or not directly to the central unit and make available this a priori information (RX . . . ) or real-time information (ultrasound . . . ). The central unit 3 permanently communicates with the glasses, so that the complemented object can be seen according to different angles. To this end, the software is based on the clouds of 3D point common to the view of the stored invisible part and the 3D view observed by the clinician via the cameras carried by the augmented-reality glasses. The complemented object must therefore be regarded as a stable object in an orthonormal reference mark with respect to the cameras of the augmented-reality glasses. This object represents a sort of more or less cubic volume around which the observer turns. These are the common references or other added indexes (indexing wedges) that permit the clinician to turn around the virtual complemented object, as he would do with a hologram. In order to make this matching of the two clouds of points reliable, it appears useful to make a more accurate recording of the visible surface than is the case with the cameras carried by the augmented-reality glasses. To this end is added an intraoral camera 4, which permits the accurate scanning of the visible surface, the camera using or not structured light, lighting, whether special or not, permitting an optimal view of the mouth, of the teeth and the gums. Also, in order to provide a significant addition to the diagnostic aspect of the present invention, the device of the invention comprises a specific lighting 5 optimizing the reading of the hard dental tissues (white and blue light), but also permitting to highlight determined diseases of the hard tissues or the soft tissues (fluorescence, phosphorescence, reaction to IR radiation, mixed IR/near UV . . . ). During the carrying out of these clinical actions, advantageously and according to an additional feature of the device according to the invention, indications 3 on the patient's physiological condition can appear in the operating field. It is indeed interesting to know the heart condition or other general information during particularly traumatic surgery. FIG. 4 is a representation in images of steps of construction of the complemented 2-3D image. In a first step, the clinician takes a 2D, 2D½ or 3D view (FIG. 4a) thanks to the peripheral device 2. A 2D view (e.g. RVG), a 2D½ view (tomo, cone beam, OCT, or scanner) or better, a 3D view (MRI, ultrasound) permits to have information about the invisible structures. Like the roots 14 or crowns of the teeth 15, this information will be directed to the hard tissues in radiology or to the soft tissues in MRI, the cone beam being a good compromise between both. The clinician looks at his operating field in the mouth. The augmented-reality glasses carry stereoscopic cameras permitting to view the visible part of the mouth in 3D, i.e. the crowns of the teeth 16 and the surface of the gum 17 (FIG. 4b). He can also use an intraoral reading camera/scanner 4 if he wants to have a high accuracy in his reading of the visible part of the complemented image. This is the case for the image shown in FIG. 4b. The central unit will search the clouds 18 common to the first image of the invisible part (here radiological 4(a) and the second image of the visible part (here using our condor scanner 4b). It shows a common cloud of points (FIG. 4c). This cloud corresponds to the tooth crowns 19, since they are not deformable and present in both visible 16 and invisible 15 parts. From this common cloud of points, the software present in the central unit will bring the two structures together and combine them at the level of the cloud of points in order to form a single 3D volume object or complemented object 20 combining the visible 16 part and the invisible part. This object (FIG. 4d) will be displayed on the augmented-reality glasses. The dentist thus sees in the mouth of his patient the visible part and the invisible part, which permits him to treat not only the crowns, but also the tooth roots and the osseous structures of the maxilla. Then remains for the software of the central unit 3 to follow the movements of the dentist's eyes in order to permit him to bypass this complemented object. To this end, the cameras located on the glasses will continue to follow the different orientations the cloud of points 18 takes with respect to the direction of the cameras, thus the eyes of the dentist. This will result into a permanent resetting of the complemented 3D virtual image displayed on the glasses of the practitioner 1 as an information additional to that he naturally observes on his clinical site. This resetting will occur permanently and as he moves his eyes. While the previous view was a lingual view, the next view (4e) is a buccal view. The clinician has taken his eyes away and sees the teeth on another face. In this view, the buccal roots are short 21, because it has a more plunging view of same. The complemented object 20 comprised of the visible and the invisible part keeps to the movement of the eyes and permits to discover the other side of the 3D image. This is particularly interesting because it is possible to see the emergence of the mental hole 22 and the exit of the nerves and the blood vessels 23. According to the same principle of the triple combination intraoral camera/RX/augmented-reality view, additional feature of the device according to the invention, it is possible to know even more accurately the nervous environment, veins, arteries and anatomical structures. The dentist thus knows exactly where he must pinch to have a perfect anesthesia of the anterior region (incisor and canine). He can also see the osseous rim of the mandible 24, very important for implantology. It is obvious that an occlusal view, without transparency effect for the neurovascular bundle, respects the visible surface, which remains dominant over the invisible surface (4f). This invention permits to see the entire dental anatomy directly in the mouth, on the site of clinical action, without having to take the eyes away or to make subjective adjustments in order to know where these anatomical elements are located. The action becomes accurate and secure. In FIG. 4g we see the view of the complemented object combining the visible and the invisible part into one set. FIG. 5 illustrates the effect of the displacement of the clinician's eyes (5a) on the view observed through the augmented-reality glasses on the lingual (5b), occlusal (5c) or buccal (5d) view. When he moves his eyes, he is able to see inside the complemented object, normally invisible, either on the buccal view, or on the lingual view or on the occlusal view, which permits him to better understand the presence of important anatomical components, such as e.g. in FIG. 5d the emergence of the mental nerve 22. FIG. 6 illustrates the effect of the change in the coefficient or index of transparency (known to the users of drawing software such as Photoshop). In FIG. 6a, the gum is deleted on a plane closer to the observer, but the bone is visible. Visible are the crown of the tooth 16, the start of the root 25, the receding of the root 26 and the osseous surface 27. It is also possible to see the mental hole 22, which is so important for the anesthesia, and the emergence of the nerve 23. Visible in FIG. 6b, which is a deeper plane, are the crown 16, the start of the root 25 and its receding 26. In addition and by transparency in the bone are visible the root 14 and the nerve that reaches in the tooth 28. As shown in the segment at the left, the cortical bone 29 has been deleted in favor of the medullary bone 30, which also permits to see a cyst or a granuloma 31. In FIG. 6c, where the medullary bone was made transparent, the clinician can see clearly in the mouth of his patient, in the extension of each crown 16, the root of the teeth 14, but also the nerve outside 28 and inside the tooth, the root canal 32 that contains it in the neurovascular bundle. The granuloma or the cyst are also more visible 31. Finally, in the last plane selected in this example (which is non-restrictive) are clearly visible the coronary channel 32, here connected to the nerve and vessels external to the tooth 28, but also the coronal pulp of multi-rooted teeth 33 and single-rooted teeth 34, 35, which obviously permits to know perfectly the position of the pulp horns 36. Indeed, if the complemented 3D object is unique, it retains the knowledge of the visible and invisible part. The dentist will thus know exactly where he must open the tooth and penetrate into the root 36 to reach the nerve 37 with a minimum of decay for the tooth. This would also apply to the osseous structure if the clinician wanted to reach a granuloma 31, a cyst or a tumor. These different planes can be freely chosen with the foot, the keyboard or the path. Also, more local information may be addressed to him. This can be, and this is non-restrictive, indications on the status of his work during or after its completion. For example, in FIG. 7 are indicated the undercuts 38 during a preparation of a dental prostheses or the fitting of an implant indicating what action and at what level has to be performed a correction or a modification of the work in order to ensure a proper prosthetic work. This indication appears in the form a color or texture overprint on the area to be worked on. It disappears when the work done meets the clinical need. Also, shown in this figure is the form of the sub-gingival preparation invisible when it is covered by the gum. The supra-gingival part is visible directly in the mouth, the juxta-gingival part difficult to exploit by means of the direct methods, while in this invention it is clearly visible 38, as well as the sub-gingival part 40. This permits the clinician to know perfectly whether he must perform corrections. When he prepares an inlay/onlay 41, indications are given to him directly in the mouth in augmented reality on his preparation, which indications disappear when the preparation is carried out properly. The same will apply to the making of a bridge. The calculation of the insertion axis 42 resulting from the analysis, for example, of the centers of gravity, will show him to maintain the angle to be formed 43, the area to be corrected 44, but also the angle 46 his drill should adopt when it is provided with a 3D spatial position sensor 45. As illustrated in FIG. 8, the present invention permits to associate with the complemented object the dynamic monitoring of the tools used by the dentist or surgeon when performing an action of root treatment, surgical procedures such as an extraction of a tooth or also the fitting of an implant. It is possible to monitor directly in the mouth and in real time, on the operating field and in the invisible part of the complemented object, without having to take his eyes away, his operating procedure and the movement of the instruments he uses in one and the same repository thanks to the augmented-reality glasses. The clinician can monitor in time the displacements of cloud of points or the characteristic or stored modelings, which are thus known a priori, of his work tools in the mouth region. Thus and advantageously and according to an additional feature of the device according to the invention, as we see in FIG. 8a, these instruments are handled as follows: The first step consists in locating in space the instrument being used, at the start of the operation, using the cameras 9 located on the augmented-reality glasses 1 and thanks to specific references 47 (e.g. a head of an instrument of a particular shape or with a bar code). The instrument being used is searched in a library containing a set of stored instrument shapes. In this case, the instruments are modeled by software based on their image with a particular identification making them easily identifiable. This may be a marker attached to the handle of the instrument or WiFi or magnetic message, without this being restrictive, the principle of the invention being a recognition of the object being used by the clinician. It is also possible to identify and to indicate manually its position on a screen. This has the advantage of facilitating the image-processing work, but obliges the practitioner to intervene on the attached screen. The second step consists in monitoring the movement of this known instrument positioned in the space of the complemented object. This monitoring is possible, in real or almost real time, by the cameras 9 that tracks the movements of the points of the markers previously identified in the space by the image-processing software. This monitoring is thus a dynamic matching in real time or with a slight delay of the instrument and the complemented object by monitoring these reference marks characteristic for the instrument being used and the characteristics non-deformable areas of the complemented object. A sound or visual indication may be added if there exists a risk of reaching sensitive areas (veins or nerves . . . ). A visual or sound indication can also be added for the clinician's procedure to be accurate and in the right direction (impacted teeth or granulomas, even cancer) with information permitting an ideal or even automatic orientation, or the appearance of a zoom to better view if there exists a risk. The practitioner has thus a view of the displacement of these instruments in the complemented object as if he were using a dynamic radio. This is particularly interesting, because he can monitor the progress without any ionizing radiation. As shown in FIG. 8a, the instrument being used is comprised, for example, but this is not restrictive, of two parts, a non-deformable one 48 containing the spatial identification elements 47, permitting to recognize and monitor the object in its movements in space, and another part corresponding to the active area 49, which is clinically efficient. These areas may be combined. Thus and advantageously and according to an additional feature of the device according to the invention, there will be two possibilities. Either the instrument is deformable, such as for example a spindle 48, a probe or a drill bit for the endodontic treatments. In this case, the instrument is correlated to the density, or in contrast, and this is given as an example only, the area in which it is inserted into the complementary object. This area of the same optical quality 50, in the 3D image (progression area) can be automatically identified or indicated by the operator. The instrument will deform so that it follows that this density or this contrast 51. For example, a deformable canal instrument will be inserted into a chamber 50, then a dental canal 51, which have a density, a very particular gray level with respect to the dentin of the root 14. This instrument, which the software has recognized and modeled, will deform to follow the characteristic density or the contrast of the canal. Or the instrument being used is non-deformable, such as for example in the FIG. 8b a drill 59 or a needle 58. It passes through the complemented object without taking into consideration the densities or contrasts characterizing different anatomical regions. The software is able to anticipate this instrumental movement and the risks of danger that comes with it (hitting a nerve or a vessel, even the risk of perforating a sinus in the upper jaw). Thus and advantageously and according to an additional feature of the device according to the invention, the non-deformable or deformable instruments are stored in a specific library. This permits the clinician to select them manually or to launch an automatic search. The geometric characteristics of the instrument having been stored, its integration into the image containing the complementary object is particularly easy. This recognition can also occur automatically through reading the reference in various forms (bar code . . . ) This identification being done, this leads to an automatic knowledge of the geometric data of the instrument, its easier identification in the image viewed by the cameras 9 of the viewing glasses and the monitoring of its movements in complemented object. Thus and advantageously and according to an additional feature of the device according to the invention, the monitoring of the movements of the deformable or non-deformable instrument will occur by optical means, but also by any technique for location in space (accelerometer, gyroscopes, magnetometers, ultrasound, IR, GPS . . . ). As shown in FIG. 8b, in implantology it is possible to indicate the best position and the best insertion axis for the drill preparing the implant site. When the tool 54 is provided with a three-dimensional marking, for example such as the one of the French patent No. 92.08128, but this is not restrictive, the software indicates in augmented reality on the display glasses, directly at the level of the drill or the hand-operated part (at choice), the axis to be maintained 55 and emits a sound signal with varying tone depending on the accuracy or on the drift 68 of the position or the proximity of an anatomical element 66. The local information can also appear superimposed on the augmented-reality glasses 69 associated with the software present in the central unit 4. It shows all the information 69 in real time and guides to focus perfectly the drilling 65-68 and to stop it when it is deep enough 67. Also, and still in implantology, the invention indicates the type of implant, the shape or the brand that best meets the analyzed three-dimensional environment, thanks to the triple combination 9 viewed in augmented reality by the operator and it is necessary to manufacture the accurate picture/augmented-reality image/RX picture. In some cases, no implant or no prosthesis corresponds to the ideal environment implant or prosthesis to measure. Advantageously and according to an additional feature of the device according to the invention, the central unit 3 is connected to a numerical-control machine tool 12 in order to manufacture this specific implant or prosthesis, in one or more units. When the implant drill approach a hazardous area (here a nerve 37), it is possible to have (automatically or on request) an enlarged view of the risk area 57. This permits to better control the movement of the drill bit 56 relative to the nerve 37. Finally, as can be seen in FIG. 8c, it is possible to monitor a surgery action in the complemented object. In the example shown, the non-deformable object 60 being used is a riser, permitting to arrive exactly at the root 62, which is normally invisible in the mouth. Thanks to the invention, it is possible to see it and to monitor in the complemented object the progression of the head 61 of the riser. The same applies to the search of an impacted tooth 63 located under the bone and the gum 27. It is obvious that this application of the invention is not restricted to dentistry, but can be applied to any surgical operation on the body or in veterinary medicine. FIG. 9 explains, through a diagram, the different clinical steps of manipulation. The first step consists in recovering, from the peripheral device 2, the information of the invisible part, i.e. a 2D½ or 3D view of the underlying tissues 65. This view corresponds to a cloud of points (the voxels) representing the teeth (crowns 15, roots 14 and conduits of the pulp tissues), the medullary and cortical bone 24, the vessels and the nerves 23, but also the anatomical geography of its invisible components. A file containing these voxels in the form of a cloud of points 66 is sent to the central processing unit 3 in a file with as a support a STL, Ply . . . or Dat.com language (this is only an example, each language having a characteristic that is specific to it). When the file of the invisible part 67 is received by the central unit, the practitioner can take his glasses 1 and view its working area in the mouth of his patient 8 and, using the HMI, turn on the augmented-reality glasses. This permits him to recover a second cloud of points 68 of the visible part in the mouth of his patient through the action of the external cameras or/and optical impressions 69 and their connections 70 to the central unit 3. If he wants, the user can enhance the precision of his cloud of points using optical-impression cameras with or without structured light 71. This action permits to send and accurate cloud of points to the central unit 72, which will enhance the quality of the cloud 73 of the cameras on the augmented-reality glasses 68 relying on the areas common to the cloud of points of the complemented object and its visible parts 68 and invisible parts 67. Using a specific lighting 74 of the intraoral camera, he can enrich the information 75 received by the central unit, mainly in the field of diagnosis 76. At this stage, he will have two clouds of points 67, 68 reinforced with accurate information 73 and eventually diagnostic information 76. A combination then occurs at the level of the central unit 77 and creation of the complemented object. This object is then transmitted 78 to the augmented-reality glasses so that the complemented object is displayed in the field of viewing 78 and that the practitioner can see in the mouth of his patient the visible and invisible parts of his operating field 79. All these orders are under the control of a specific manual or sound HMI 80. The connection to the HMI and the practitioner's procedure is the freer and his vision is the more direct as the connection between these different units occurs by a long cable or wireless (Wifi, Bluetooth . . . ). The wireless connections are preferred, but this is not restrictive of the invention. If the connections are by cables, it is preferable to use, for example, a self-powered USB connection. If the connection is wireless, it can be for example in WiFi mode, but this is not restrictive of the invention. In this case, if it is not originally present in the peripheral device, the antenna will be added in the camera 4, the augmented-reality glasses 1 and the other peripheral devices 2. Likewise, on the computer 3 or eventually on an intermediate casing will be inserted into the USB connection an antenna for sending and receiving data corresponding to the orders given by the dentist 6 using his microphone 11, by the program located in the computer 3 or the intermediate casing 3 if it does not have this transmission function. This arrangement will permit a fast, friendly and easy communication, irrespective of the configuration of the dental practices. As can be seen in the diagram of FIG. 9, it is possible to send or receive other important information. This information can permit the clinician to work with comfort and accuracy. This is made possible through the creation of the complemented object and the viewing through augmented-reality glasses 1, without moving his eyes from the operating field 8. Thus and advantageously and according to an additional feature of the device according to the invention, the practitioner will receive not only static information through combination and the creation of a complemented object 20, but also dynamic information by following the movements over time of the devices intervening on this complemented object. At any time will occur a resetting between the complemented object and displacements or variations imparted by the actions of the clinician 6 and viewed in augmented reality through his glasses 1. All this mounting is achievable by following an accurate mathematical procedure. The accurate mathematical procedure can be the presentation of the software elements usable for the combination of both visible and invisible parts for creating the complemented object. This is only one example of optical trace calculation by tracking points of interest. It permits to explain how to build a complemented object from the images received from the peripheral device 2 and the 2D ones from the reading using the cameras 9 on the augmented-reality glasses and/or those from the cameras for taking an optical impression 4. The search for the optical traces of remarkable 3D points between the part common to the visible and invisible cloud occurs through searching points of interest in all the acquired images, then through searching matches between the points of interest of the different images. Several schemes are possible: A first scheme is the optical tracking of angles. The general idea is to calculate remarkable points (angles) in an image, then to track the points in the next images without having to re-detect them. The tracking phase continues as long as a determined percentage of remarkable points of the first image is still detectable (typically 70%); below this threshold, a new phase of detection of remarkable points is performed on the next picture. The detection of angles occurs by calculating for any pixel (x, y) the matrix 2*2: C = [ ∑  w  ( ∂ I ∂ x ) 2 ∑  w  ( ∂ I ∂ x ) · ( ∂ I ∂ y ) ∑  w  ( ∂ I ∂ x ) · ( ∂ I ∂ y ) ∑  w  ( ∂ I ∂ y ) 2 ] , wherein I designates the intensity at (x, y) of the picture and W a neighborhood of (x, y). Let λ1 and λ2 be the 2 eigenvalues of this matrix; if these two values are above a determined threshold (typically 0.15), the point is considered as a remarkable point. For the tracking is searched between 2 pictures i and i+1 and, for each remarkable point, the displacement d=(dx, dy) which minimizes Σw(Ii(x,y)−Ii+1(x+dx,y+dy))2. This displacement is calculated by ′d=C−1,b with C the previously mentioned 2*2 matrix and b = ∑  [ I i  ( x , y ) - I i + 1  ( x , y ) · I i  ( x , y ) I i  ( x , y ) - I i + 1  ( x , y ) · I i + 1  ( x , y ) ] Since this optical tracking technique is reliable for small displacements, the eventual large displacements are determined by sequentially calculating the displacement d over a pyramid of images (of a very subsampled version of the images until the original resolution). The above-mentioned techniques are based on the implicit assumption that the image stream is consistent, i.e. the displacement between 2 successive images is small and 2 successive images are of sufficient quality to find a satisfactory amount of matching points (at least 30). As regards the displacement between 2 images, the acquisition of the images occurs at a conventional video stream frequency. It is thus a very small displacement between 2 images. For a larger displacement, which would result into an impossibility to find matching points with the previous images, it will be possible to generate a new region. As regards the insufficient quality of an image (in the event of a blurred image, for example), the matching phase acts as a filter, since it is clear that very few matching points will be found. The image will then be stored without being processed, and one will wait for the next image that will have a sufficient number of matching points. A second scheme relates to the invariable points and the matching with the least squares. The points of interest are sought in the pictures by well-known techniques, which search points remaining invariable through change of scale and illumination. These techniques have the advantage of being capable of calculating morphological descriptors for each point of interest. The matching between points of interest for a given pair of images is performed by searching, for any point of interest x11 of the image 1, the point of interest x12 of the image 2 minimizing the distance with respect to x11 to the least squares in terms of descriptors. In order to avoid the false matches or the outliers, the fundamental matrix F between the images 1 and 2 (which links the pairs of points of interest by the relationship xi1·F·xi2t=0 will be calculated in advance. If for a couple of points of interest x11 and x12 potentially matching the least squares, the product xi1·F·xi2t is higher than 10−5, then this pair is rejected. The search for an optical trace then occurs by transition during the acquisition of a new image. When the image Ij is acquired, it is assumed that the calculation of the optical trace has been performed for all the previous images I1 . . . . Ij−1. Then are calculated the points of interest I; that are matched with the image Ij−1. Then are completed the optical traces by transition while noting that if xij matches xij−1 and if xij−1 matches xij−2, then xij matches xij−2. A third scheme relates to the strong gradients and the matching by correlation. As points of interest of an image are considered all the points where the intensity variations are important. In practice, for each point of the image being considered is calculated the standard deviation of the intensities close to 20*20 pixels around this point. If the standard deviation is above a determined threshold (typically in the order of 10, for intensities coded on 8 bits), the point is then considered as a point of interest. The search for matches between 2 images at the level of their points of interest occurs by means of a correlation technique, for example, and this is not restrictive, such as the Medici (French patents filed on 29 Mar. 2005 EP1756771 (B0453) and EP0600128 (B0471)). A real-time 3D reconstruction algorithm permits to monitor dynamically the movement of an instrument moving in the complemented object. The 3D modeling follows three steps. In the first step, the 3D cloud of points obtained by processing optical traces is densified through the calculation of an implicit interpolation function ƒ. Through this implicit function, the 3D surface interpolating the points is polygonized by the method, for example, and this is not restrictive, such as the Bloomenthal method. Finally, each polygon is textured in a very simple way: by projecting the 3D points delimiting the polygon in the images that generated these points, a polygonal area is delimited in these images. The texture on these polygonal areas is averaged and assigned to the polygon. The main difficulty lies in the algorithm used for the interpolation and the calculation of the implicit function. This algorithm is optimally adapted to our use, because it permits an interpolation in real time and, unlike the other interpolation techniques, it permits dense interpolation from a very sparse initial cloud, which is very often the case when the work relates to objects with little texture, such as the teeth. We explain hereafter the generic interpolation underlying this algorithm, then its use in practice in a multiscale scheme. Generic interpolation: Let Pi be the points of the 3D cloud (after estimating the normal → at these points), we will seek the implicit function ƒ: 3, based on Radial Basis Functions (RBF) such that the points x belonging to the surface are those for which f(x)=0. We choose ƒ such that f  ( x ) = ∑ p i ∈ p  [ g i  ( x ) + λ i ] · Φ σ  (  x - p i  ) ,  with Φ σ  ( x ) = Φ  ( x σ ) , φ  ( x ) = ( 1 - r ) 4 + ( 4   r + 1 ) The unknown values to be determined in order to explain ƒ are therefore the gi and the λi. Estimation of the gi: Let's consider the point Pi and its normal {right arrow over (n)}1 choose a system (u, v, w) such that u and v are perpendicular to the normal and w points in the direction of the normal. Let h be a function having the form h(u,v)=Au2+Buv+Cv2, , in pi are searched the coefficients A, B and C so as the minimize the following quantity ΣPjεPΦσ(∥Pj−Pi∥)·(wj−h(uj,vj))2. Then gi (x) is calculated by gi(x)=w−h(u,v). Estimation of the λi: Knowing that ƒ(Pi)=0∀Pi, we can estimate the λi by a simple linear system resolution. Multiscale interpolation: The generic interpolation is in fact performed on subsets of points in order to greatly improve the accuracy of the interpolation. First, a set {0, . . . , k} is constructed as follows: The set 0 is a parallelepiped comprising all the points Pi. Between 2 successive levels k−1 and k is carried out a subdivision of parallelepipeds into 8 small parallelepipeds. The function ƒ is calculated by an iterative procedure. We start from ƒ0=−1, then we iterate over the sets k while updating ƒ: f k  ( x ) = f k - 1  ( x ) + o k  ( x ) , o k  ( x ) = ∑ p i k ∈ p k   ( g i k  ( x ) + λ i k ) · Φ σ k  (  x - p i k  ) The gik are determined as described above over the set k and the λi are calculated by solving the system ƒk−1(Pik)+ok(Pik)=0 The σk are updated such that σi+1=σk/2, and the number of levels to be built is defined by M=−log2(σ0/2σ1) The manipulation of such a system is extremely simple, because its parameterization is deemed fixed and unchangeable by the operator, except for determined pre-manipulation and other (preset) selections requiring precisions during the work. In the first one can be found for example the patient's file (clinical file), while in the other one can be found the instruments he can use (whether deformable or not), the selection of the kind of viewing (e.g. with or without the bone) or also the type of diagnosis aimed at (e.g. caries or tumors, which will not have the same type of lighting). This function can be controlled by a series of automatic actions leading to the desired diagnosis. To this end, the operator (dentist, dental technician or physician) has a computer indicating the operations that the augmented-reality glasses and/or cameras (whether augmented or not with an accurate reading with the intraoral scanner), asking him to make the choice between one feature and another. It should be noted that the clinical actions are privileged over the type of material. So, we do not mention on scrolling menu or in voice detection fluorescent light, but caries detection. All or part of the treatment can be performed at the level of the maps included in a generic system (standard laptop or desktop) or in a specific system including cards specially dedicated to the application of data-processing, transmission and display. This set may be integrated into the Unit or separated (e.g., in a kart). The first step consists in collecting the 2D, 2D½ or 3D invisible images from the peripheral device 2 and in storing them delayed (RX, IR, MRI . . . ) or in almost real time (ultrasound, OCT . . . ). When the practitioner observes that the storage is done, he is ready to launch the construction of the complemented 3D or pseudo 3D object. The second step consists in taking his augmented-reality glasses 1 and in launching the reading by the cameras 9. The actual image seen through the glasses is successively enriched: First of all, with the 3D modeling of the visible part built with the two cameras 9 fixed on the glasses 1. Although this is possible, for safety reasons, there is never elimination of the direct view in favor of the modeled representation in the field of view, but a combination between the direct view and this modeling resulting from the cloud of points (see the Duret and Coll. Patent BV 4). Then the clinician retrieves the view of the invisible part from the selected peripheral device 2, which, based on the common points, will complement the modeled view of the two cameras 9, in order to create the complemented object containing the visible part and the invisible part. If the clinician wants to have a perfect view with good definition of the visible view, he has the possibility of performing an additional scanning using an intraoral optical reading camera 4. Eventually and in order to facilitate the combination of the two clouds of points, those from the reading performed on the invisible part 2 and those from the reading of the visible part by the glasses 1 and 9 and the intraoral scanner 4, he can indicate using a specific instrument the area common to both files (for example the crowns of the teeth) directly on the site or on a nearby screen. He can also use a calibration wedge permitting to homogenize the two views in terms of dimensions, thanks to the multi-scale interpolation software. Indeed, in some cases, in particular when the 2D view(s) has or have to be correlated on 3D cloud of points of the visible view, the match is more difficult. Based on the repository added in the invisible 2D view, this wedge easier permits the work of this software. Advantageously, and according to the invention, the LEDs can also play an important role in the correlation of the successive views. Indeed, we know that there are methods that base the correlations of the views on marks placed in the environment being measured or using the similarity found in the cloud itself, or even working on the fuzzy edges of the views. All these systems are complex, because they oblige either to place spherical marks in the area, which operation is clinically complex, or to identify areas often without relief or with a too smooth surface condition. Scanning with LEDs of known wavelength with 3D color imaging permits to simplify and to automate this procedure. Indeed, a simple colored line or gluing of a mark can be identified and displayed automatically if we took care to use a marking or identification using a complementary, identical color additive or subtractive of the wavelength of one (or several) of the scanning LEDs. The identification will thus occur by simply enhancing in color the mark, irrespective of its nature. This identification, which is always in one and the same position on the object, irrespective of the angle or zoom of our optical impressions, will serve as a correlation reference. The combination function for the complemented object can be initiated using a button located on his glasses or close to his clinical seat, an oral information by means of the microphone 11 or a pedal in communication with the computer, and he can stop it when he judges that it is correct or that he has completed his clinical action. To this end, he stops pressing or presses a second time. The cameras on the glasses will permanently interpolate the invisible file on the visible file, providing a full view of the supra- and sub-gingival part to the clinician's view directly in the mouth and in real or almost real time. It should be noted that this visible/invisible combination operation can occur on a plaster model in a laboratory, whereby the technician may have augmented-reality glasses. This permits the technician to have interesting information when he has to prepare sub-gingival prosthesis, removable appliances that require knowledge of the thicknesses of the gingival tissues and osseous relief, surgical guides and prefabricated implants or implants made at measure in implantology through viewing, instead of the plaster, underlying organs (arteries, sub-gingival finishing line . . . ). Without this invention, the operation would be impossible. The software processing permits to calculate in almost real time the 3D coordinates (x, y, z) and the color of each of the points being measured in x, y and z. We obtain a 3D file of a partial or full arch in color associated with information of the invisible part. The taking of successive images with the cameras located on the glasses 1, real film of the zone to be viewed, permits a complete record of the information necessary for the digital processing of the whole or part of the object to be seen, but also to measure in buccal, lingual and proximal view if he wishes to use the stereoscopic measuring function that can be provided by a camera creating clouds of points (see Duret and Patent Coll. Patent FR 14.54774). These areas are combined automatically by the software with the inaccurate previous views based on the same common repertory (for example the crowns of the teeth). This same detection of the common areas can be performed at the level of the modeling curves (Nurbs, radial basis functions, wavelets . . . ). If the practitioner decides to use the diagnosis function, he selects on the computer or orally the desired type of diagnosis, for example melanoma or caries detection, the camera will launch a scan of wavelengths corresponding to the highlighting of areas of interest for the preselected wavelengths present in a 3D image. In addition and through the 3D analysis of the object, the overlapping of the measurements over time will permit to better monitor the evolution of said pathology. It is indeed admitted by the professionals that the examination of a suspicious image may occur in 2D, but especially the evolution of its volume and its color serves as a reference for monitoring over time its dangerous nature. Having a volume referred to a mathematical center (such as the center of gravity) permits to superimpose the images on a center depending on the object and not on the observer, in order to objectively appreciate the evolution of its volume, the color analysis being carried over onto a 3D form, which is not the case today with the methods implemented on 2D surfaces or those using lights or structured waves (OCT, CT or MRI). Likewise, by selecting determined wavelengths emitted by the LEDs present around the reading window and by increasing their frequencies and/or their intensities, we can carry over onto a 3D image viewing determined anatomies and pathologies located at any depth, in addition to those viewed by the peripheral device viewing the invisible part. The knowledge of the volume provides us with an indication of the positioning of this pathological limit, which permits us to predict and to view the evolution. This applies to the fluorescence reactions of determined tissues to blue or UV radiation. The fluorescence appears not only on the surface, but also in the depth of the pathology, which permits us to provide assistance to the therapy to be applied (removal of pathological tissues). Knowing the penetration of a particular radiation, we can appreciate its importance and depth relative to the 3D real surface being analyzed. From the above description clearly appears that the present invention perfectly solves the problems raised in that it provides a real answer for the viewing of the visible and invisible areas and in particular their combining into one and the same repository permitting to view the complemented object directly in the mouth on the clinical site. It permits an immediate anatomical and pathological analysis of the diseases of the gums and the underlying tissues. From this description also clearly appears that it permits to solve the fundamental problems, such as the control of the clinical action, the more that no alternative method has been provided. It is obvious that the invention is not restricted to the only implementation of this method, nor to the only forms of carrying out of the device for implementing this method, given above as an example. Instead, it encompasses all the variants of implementation and carrying out. Thus, it is namely possible to measure the oral diseases, whether they relate to the hard tissues and the soft tissues. As we understand, we provide a universal device for viewing and measuring the visible and invisible parts during the clinical action taken in its field of application, meeting the many demands in terms of cost, ease of use, assistance for measuring and diagnostic imaging in dentistry. This system can be applied for example in a progressive form to any 3D acquisition requiring a fast and accurate manipulation obliging the operator not to take his eyes away from his field of work, analysis and/or measurement. This is the case for the works performed on all parts of the human body, the data acquisition requiring not be disturbed by sudden movements of the patient, the quick action such as sports actions or the industrial production procedures, in particular in a hostile environment. It is thus possible to monitor and inform the operator in real or almost real time, while permitting him not to take his eyes away from the scene and displaying additional information. From the above description clearly appears that the present invention perfectly meets the problems raised, in that it provides a real answer for the viewing of the visible and invisible areas and in particular their combining into one and the same repository permitting to view the complemented object directly in the mouth on the clinical site. It permits an immediate anatomical and pathological analysis of the diseases of the gums and the underlying tissues. From this description also clearly appears that it permits to solve the fundamental problems, such as the control of the clinical action, the more that no alternative method has been provided. It is obvious that the invention is not restricted to the only implementation of this method, nor to the only forms of carrying out of the device for implementing this method, given above as an example. Instead, it encompasses all the variants of implementation and carrying out. Thus, it is namely possible to measure the oral diseases, whether they relate to the hard tissues and the soft tissues. The invention can be used to perform any medical or nursing actions: it is possible for the viewing device to help in locating anatomical elements for a subcutaneous injection, an intravenous injection or the placing of a catheter; it is also possible for same to help in the study of the skin and gum thicknesses between the bone and the surface of the skin or the gum.",A61B124,A61B124,20160126,20180130,20160804,83334.0 36,14990090,ACCEPTED,"SEMICONDUCTOR STORAGE DEVICE, AND METHOD FOR READING STORED DATA","A semiconductor memory device capable of improving a read characteristic of a sense amplifier and a stored data read method are provided. The semiconductor memory device includes a sense amplifier and a controller. The sense amplifier has a first transistor that clamps a voltage of a bit line, a second transistor that is provided between a voltage node clamped by the first transistor and a reference voltage node, and a third transistor that is inserted between a charge/discharge node and the voltage node clamped by the first transistor. In a first operation mode, the controller turns on the first transistor and the second transistor and turns off the third transistor. In the second operation mode, the third transistor is turned on and in the third operation mode, the first transistor is turned on, the second transistor is turned off, the third transistor is turned on, and the fourth transistor is turned on.","1. A semiconductor memory device comprising: a memory cell; a sense amplifier that reads data stored in the memory cell; and a controller that controls an operation of the sense amplifier, wherein the sense amplifier comprises a first transistor that clamps a voltage of a bit line connected to the memory cell, a second transistor that is provided between a voltage node clamped by the first transistor and a reference voltage node, a third transistor that is provided between a charge/discharge node performing charge/discharge in accordance with the data stored in the memory cell and the voltage node clamped by the first transistor, and a fourth transistor that is provided between the reference voltage node and the charge/discharge node, the controller executes a first operation mode, a second operation mode after the first operation mode, and a third operation mode after the second operation mode when the data stored in the selected memory cell is read, in the first operation mode, the first transistor and the second transistor are turned on and the third transistor is turned off, in the second operation mode, the third transistor is turned on, and in the third operation mode, the first transistor is turned on, the second transistor is turned off, the third transistor is turned on, and the fourth transistor is turned on. 2. The semiconductor memory device according to claim 1, wherein each of the first to third transistors is an NMOS transistor, and in the second operation mode, the controller sets a gate voltage of the second transistor higher than gate voltages of the third transistor and the fourth transistor. 3. The semiconductor memory device according to claim 2, wherein, in the second operation mode, the controller sets the gate voltages of the fourth transistor and the third transistor equal to or higher than a gate voltage of the first transistor. 4. The semiconductor memory device according to claim 1, wherein, in the first operation mode, the controller turns on the fourth transistor. 5. The semiconductor memory device according to claim 1, wherein, in the third operation mode, the controller sets a gate voltage of the third transistor equal to or higher than a gate voltage of the fourth transistor. 6. The semiconductor memory device according to claim 1, wherein, in the first operation mode, the controller sets a gate voltage of the second transistor equal to or higher than a gate voltage of the first transistor. 7. The semiconductor memory device according to claim 1, further comprising: a latch that latches data in accordance with a potential of the charge/discharge node, wherein, in a fourth operation mode executed after the third operation mode, the controller turns off the third transistor and the fourth transistor and transfers charges accumulated in the capacitor to the latch. 8. The semiconductor memory device according to claim 1, wherein the bit line is connected to a plurality of memory cells, the sense amplifier reads data stored in the memory cell selected from the plurality of memory cells via the bit line, in the first operation mode, the bit line connected to the selected memory cell and the charge/discharge node are precharged, and in the third operation mode, a discharge current from the charge/discharge node is allowed to flow to the bit line via the third transistor and the first transistor. 9. A stored data read method for reading data stored in a memory cell selected from a plurality of memory cells connected to a bit line via the bit line, comprising: executing a first operation mode in which both a first transistor clamping a voltage of the bit line connected to the selected memory cell and a second transistor inserted between a voltage node clamped by the first transistor and a reference voltage node are turned on, the bit line connected to the selected memory cell is precharged, the charge/discharge node is precharged, and a third transistor inserted between a charge/discharge node performing charge/discharge in accordance with the data stored in the selected memory cell and the voltage node clamped by the first transistor is turned off; executing a second operation mode in which the third transistor is operated in an ON direction while the bit line is continuously precharged and resistance between a source and a drain of the third transistor is set to be higher than resistance between a source and a drain of the first transistor; and executing a third operation mode in which the first transistor is turned on, the second transistor is turned off, the third transistor is turned on, and a fourth transistor inserted between the reference voltage node and the charge/discharge node is turned on, and a discharge current from the charge/discharge node is allowed to flow to the bit line via the third transistor and the first transistor."," BACKGROUND ART As large capacity recording media, non-volatile semiconductor memory devices including a NAND-type flash memory are used in various electronic apparatuses. This type of non-volatile semiconductor memory device converts data read from a selected memory cell into a desired voltage level by through a sense amplifier. A read operation of the sense amplifier is called a sense. As one of sense methods, an all bit line (ABL) method is known. In the ABL method, after a bit line is precharged, the read operation is executed on all bit lines. Then, read data from the memory cell is detected on the basis of an amount of current flowing from the bit line. In the ABL method, the bit line is first precharged. Then, a transistor connected to a sense node is turned on and a current from the corresponding bit line is transferred to the sense node. However, predetermined setup time is necessary until a gate voltage of the transistor reaches a voltage level to turn on the transistor. The setup time changes for each bit line. As a result, time when the transistor is turned on may also change for each bit line. For this reason, a read characteristic of the sense amplifier is deteriorated.", SUMMARY OF INVENTION ,"TECHNICAL FIELD An embodiment of the present invention relates to a semiconductor memory device and a stored data read method. BACKGROUND ART As large capacity recording media, non-volatile semiconductor memory devices including a NAND-type flash memory are used in various electronic apparatuses. This type of non-volatile semiconductor memory device converts data read from a selected memory cell into a desired voltage level by through a sense amplifier. A read operation of the sense amplifier is called a sense. As one of sense methods, an all bit line (ABL) method is known. In the ABL method, after a bit line is precharged, the read operation is executed on all bit lines. Then, read data from the memory cell is detected on the basis of an amount of current flowing from the bit line. In the ABL method, the bit line is first precharged. Then, a transistor connected to a sense node is turned on and a current from the corresponding bit line is transferred to the sense node. However, predetermined setup time is necessary until a gate voltage of the transistor reaches a voltage level to turn on the transistor. The setup time changes for each bit line. As a result, time when the transistor is turned on may also change for each bit line. For this reason, a read characteristic of the sense amplifier is deteriorated. CITATION LIST Patent Literature Patent Literature 1: U.S. Pat. No. 7,974,133 Patent Literature 2: U.S. Pat. No. 7,881,120 SUMMARY OF INVENTION Technical Problem An object of the present invention is to provide a semiconductor memory device capable of improving a read characteristic of a sense amplifier and a stored data read method. Solution to Problem According to this embodiment, there is provided a semiconductor memory device. The semiconductor memory device includes a plurality of memory cells that are connected to a bit line; a sense amplifier that reads data stored in a memory cell selected from the plurality of memory cells via the bit line; and a controller that controls an operation of the sense amplifier. The sense amplifier has a first transistor that clamps a voltage of the bit line connected to the selected memory cell, a second transistor that is inserted between a voltage node clamped by the first transistor and a reference voltage node, a third transistor that is inserted between a charge/discharge node performing charge/discharge in accordance with the data stored in the selected memory cell and the voltage node clamped by the first transistor, and a fourth transistor that is inserted between the reference voltage node and the charge/discharge node. The controller has a first operation mode, a second operation mode, and a third operation mode that are executed sequentially when the data stored in the selected memory cell is read. In the first operation mode, the first transistor and the second transistor are turned on, the bit line connected to the selected memory cell is precharged, the charge/discharge node is precharged, and the third transistor is turned off. In the second operation mode, the third transistor is operated in an ON direction while the bit line is continuously precharged and resistance between a source and a drain of the third transistor is set to be higher than resistance between a source and a drain of the first transistor. In the third operation mode, the first transistor is turned on, the second transistor is turned off, the third transistor is turned on, and the fourth transistor is turned on and a discharge current from the charge/discharge node is allowed to flow to the bit line via the third transistor and the first transistor. BRIEF DESCRIPTION OF DRAWINGS FIG. 1 is a block diagram illustrating a schematic configuration of a semiconductor memory device according to an embodiment of the present invention. FIG. 2 is a block diagram illustrating a detailed configuration of a peripheral portion of a cell array 2. FIG. 3 is a circuit diagram illustrating an example of an internal configuration of a sense amplifier 6. FIG. 4 is a diagram illustrating operation states of first to third transistors Q1 to Q3 in a first operation mode. FIG. 5 is a diagram illustrating operation states of the first to third transistors Q1 to Q3 in a second operation mode. FIG. 6 is a diagram illustrating operation states of the first to third transistors Q1 to Q3 in a third operation mode. FIG. 7 is a diagram illustrating operation states of the first to third transistors Q1 to Q3 in a fourth operation mode. FIG. 8 is an internal voltage/current waveform diagram of the sense amplifier 6 in the first to fourth operation modes. FIG. 9 is a diagram illustrating operation states of the first to third transistors Q3 in a sense operation mode according to a comparative example. FIG. 10 is an internal voltage/current waveform diagram of the sense amplifier 6 in the comparative example. DESCRIPTION OF EMBODIMENTS FIG. 1 is a block diagram illustrating a schematic configuration of a semiconductor memory device according to an embodiment of the present invention. The semiconductor memory device of FIG. 1 illustrates an example of a NAND-type flash memory. A semiconductor memory device 1 of FIG. 1 includes a cell array 2, a row decoder 3, a word line driver 4, a column decoder 5, sense amplifiers (S/A) 6, data latch circuits 7, a controller 8, a high voltage generator 9, an address register 10, a command decoder 11, and an I/O buffer 12. The cell array 2 includes NAND strings obtained by connecting a plurality of memory cells in series. FIG. 2 is a block diagram illustrating a detailed configuration of a peripheral portion of the cell array 2. As illustrated in FIG. 2, the cell array 2 is divided into a plurality of blocks BLK0 to BLKn. In each block, a plurality of NAND strings 20 are arranged in a column direction. Each NAND string 20 has a plurality of memory cells 21 that are connected in series, a selection gate transistor S1 that is connected to one end side of the memory cell 21, and a selection gate transistor S2 that is connected to the other end side. Gates of the individual memory cells 21 in the NAND string 20 are connected to corresponding word lines WL0 to WLn+1. A gate of the selection gate transistor S1 is connected to a selection gate line SGD. A gate of the selection gate transistor S2 is connected to a selection gate line SGS. The individual NAND strings 20 are connected to a common cell source line via the corresponding selection gate transistors S1. In addition, the individual NAND strings 20 are connected to corresponding bit lines BL0 to BLn via the corresponding selection gate transistors S2. The individual word lines WL0 to WLn+1 connected to the gates of the individual memory cells 21 in the NAND string 20 are connected to the row decoder 3. The row decoder 3 decodes a row address transferred from the address register 10. The word line driver 4 is disposed in the vicinity of the row decoder 3. The word line driver 4 generates a voltage to drive each word line, on the basis of decoded data. The bit lines BL0 to BLn connected to the individual NAND strings 20 are connected to the sense amplifiers 6 via bit line selection transistors Q0. The sense amplifier 6 in this embodiment detects read data from the memory cell 21 in accordance with an amount of current flowing from the bit line, using an all bit line (ABL) method. The read data detected by the sense amplifier 6 is latched as binary data in the data latch circuit 7. The column decoder 5 illustrated in FIG. 1 decodes a column address from the address register 10. In addition, the column decoder 5 determines whether the data latched in the data latch circuit 7 is transferred to a data bus, on the basis of a decoding result. The I/O buffer 12 buffers an address, data, and a command input from an I/O terminal. In addition, the I/O buffer 12 transfers the address to the address register 10, transfers the command to a command register, and transfers the data to the data bus. The controller 8 identifies the address and the command and controls an operation of the sense amplifier 6. FIG. 3 is a circuit diagram illustrating an example of an internal configuration of the sense amplifier 6. FIG. 3 illustrates a circuit portion to sense a bit line BLI connected to one NAND string 20 including one selected memory cell 21. When the number of bit lines BL is n (n is an integer of 2 or more), n/m (m is an integer of 1 or more, for example, n or n/2) circuits equal to a circuit of FIG. 3 are provided. Hereinafter, a signal line passing the bit line selection transistor Q0 inserted between the bit line BL connected to the NAND string 20 and the sense amplifier 6, that is, a signal line between the sense amplifier 6 and the bit line selection transistor Q0 is called a bit line BLI for convenience. As illustrated in FIG. 3, the sense amplifier 6 has a first transistor Q1 having a gate BLC, a second transistor Q2 having a gate BLX, a third transistor Q3 having a gate XXL, and a fourth transistor Q4 having a gate HLL. Each of the first to fourth transistors Q1 to Q4 is an NMOS transistor. One of a source and a drain of each of the first transistor Q1, the second transistor Q2, and the third transistor Q3 is commonly connected. In the present specification, a common connection node is called an SCOM node. The first transistor Q1 is provided between the bit line BLI connected to the NAND string 20 and the SCOM node. The second transistor Q2 is provided between a reference voltage node Vdd and the SCOM node. The third transistor Q3 and the fourth transistor Q4 are connected in series between the reference voltage node Vdd and the SCOM node. A reference voltage of the reference voltage node Vdd is a voltage generated in a NAND-type flash memory, on the basis of a power supply voltage provided from the outside. An intermediate connection node of the third transistor Q3 and the fourth transistor Q4 is an output node of the sense amplifier 6. One end of a capacitor C and the data latch circuit 7 are connected to the output node. The intermediate connection node is called a SEN node in the present specification. When the data of the selected memory cell 21 is read, the sense amplifier 6 according to this embodiment operates while sequentially switching a first operation mode, a second operation mode, and a third operation mode. The switching of the first to third operation modes is controlled by the controller 8. More specifically, the controller 8 switches operation states of the first to fourth transistors Q1 to Q4 in each of the first to third operation modes. FIGS. 4 to 6 are diagrams illustrating the operation states of the first to third transistors Q1 to Q3 in the first to third operation modes, respectively. FIG. 7 is a diagram illustrating the operation states of the first to third transistors Q1 to Q3 in a fourth operation mode executed after the third operation mode. FIG. 8 is an internal voltage/current waveform diagram of the sense amplifier 6 in the first to fourth operation modes. In FIGS. 4 to 7, the transistors operating in an ON direction are marked with circles and the transistors to be turned off are marked with X. When the latch operation is executed, the data latch circuit 7 is marked with a circle and when the latch operation is not executed, the data latch circuit 7 is marked with X. The first operation mode is a mode in which the bit line BLI connected to the NAND string 20 including the selected memory cell 21 is precharged and the corresponding SEN node is precharged. In a period of the first operation mode, as illustrated in FIG. 4, the transistors Q1, Q2 and Q4 are set to ON and the third transistor Q3 is set to OFF. The first and second transistors Q1 and Q2 are turned on, so that a current from the reference voltage node flows to the corresponding bit line BL via the second transistor Q2 and the first transistor Q1, and the bit line BL is precharged. In addition, in the period of the first operation mode, the fourth transistor Q4 is set to ON and the third transistor Q3 is set to OFF, so that the current from the reference voltage node flows to the SEN node via the fourth transistor Q4, and the capacitor C is charged. As a result, as illustrated in FIG. 8, in the first operation mode, the gate BLC of the first transistor Q1 is boosted to a voltage VBLC, the gate BLX of the second transistor Q2 is boosted to a voltage VXXL, and the gate HLL of the fourth transistor Q4 is boosted to a voltage VHLL. In addition, the gate XXL of the third transistor Q3 is set to a low level. The gate voltage VBLC of the gate BLC of the first transistor Q1 in the first operation mode and the voltage VXXL of the gate BLX of the second transistor Q2 are set to satisfy a relation of the following formula (1). VBLCVXXL is satisfied, a voltage level of the SCOM node becomes lower than a voltage level of the gate voltage VBLC, the first transistor Q1 is turned on, and the bit line BLI may not be set to a voltage lower than the gate voltage VBLC by a threshold voltage. The precharge of the SEN node does not need to be performed using the fourth transistor Q4 to be turned on and the precharge of the SEN node may be performed using other circuit. When the precharge of the SEN node is performed using other circuit, the voltage of the gate HLL of the fourth transistor Q4 is set to a low level, for example. The second operation mode executed subsequent to the first operation mode is a mode to make preparations for suppressing a variation of the voltage level of the SEN node maximally in the following third operation mode. In a period of the second operation mode, as illustrated in FIG. 5, ON states of the first and second transistors Q1 and Q2 are maintained and the third transistor Q3 is switched from an OFF direction to an ON direction. In addition, the fourth transistor Q4 is turned on. More specifically, in the second operation mode, a voltage of the gate XXL of the third transistor Q3 is set to a voltage lower than a voltage of the gate BLX of the second transistor Q2. As a result, resistance between a source and a drain of the third transistor Q3 becomes larger than resistance between a source and a drain of the second transistor Q2. Likewise, a voltage of the gate HLL of the fourth transistor Q4 is set to a voltage lower than a voltage of the gate HLL of the second transistor Q2. As a result, the resistance between the source and the drain of the fourth transistor Q4 becomes larger than the resistance between the source and the drain of the second transistor Q2. Thereby, the current from the reference voltage node Vdd flows to the bit line BLI via the second transistor Q2 and the precharge of the bit line BL is continuously performed. In addition, the current can be prevented from flowing from the SEN node to the reference voltage node Vdd via the fourth transistor Q4 or from the reference voltage node Vdd to the SEN node via the fourth transistor Q4. In addition, the current from the SEN node can be prevented from flowing to the SCOM node via the third transistor Q3. The third operation mode executed subsequent to the second operation mode is a mode in which the SEN node is discharged. In a period of the third operation mode, as illustrated in FIG. 6, the first transistor Q1 is set to ON, the second transistor Q2 is set to OFF, the fourth transistor Q4 is set to ON, and the third transistor Q3 is set to ON. More specifically, as illustrated in FIG. 8, the voltage of the gate BLC of the first transistor Q1 is held at the same voltage VBLC as the first and second operation modes, the voltage of the gate BLX of the second transistor Q2 is set to a low level, and both the voltages of the gate XXL of the third transistor Q3 and the gate HLL of the fourth transistor Q4 are set to a voltage VBLX. A magnitude relation of the voltage VBLX and the voltages VBLC and VBLX in the formula (1) is represented by the following formula (2). VBLC≦VBLX BACKGROUND US2004/0069209 A1 discloses such a method and such a diamond layer. In this known method, a metal oxide substrate is provided with an iridium layer. Diamond from the gas phase is deposited in a CVD process on the surface of the iridium layer. However, a drawback of this known method is the lattice mismatch between diamond and iridium of about 7.1%. This merely serves for producing a nanocrystalline diamond layer having many defects. Therefore, such diamond layers cannot be used as a starting material for electronic components."," BRIEF DESCRIPTION OF THE DRAWINGS The embodiments may be better understood with reference to the following drawings and description. The components in the figures are not necessarily to scale. Moreover, in the figures, like-referenced numerals designate corresponding parts throughout the different views. FIG. 1 shows a cross-section through a diamond layer on a substrate; FIG. 2 shows Raman spectra of different intermediate layers; FIG. 3 shows the change in the lattice constant depending on the boron doping; and FIG. 4 shows a flow diagram of the method according to the invention. detailed-description description=""Detailed Description"" end=""lead""?","CROSS-REFERENCE TO RELATED APPLICATIONS This application claims priority under 35 USC §119 to German Patent Application No. 10 201 5 200 692.1, Jan. 19, 2015, the entire contents of which are hereby incorporated herein by reference. TECHNICAL FIELD The invention relates to a method for producing epitaxial diamond layers. BACKGROUND US2004/0069209 A1 discloses such a method and such a diamond layer. In this known method, a metal oxide substrate is provided with an iridium layer. Diamond from the gas phase is deposited in a CVD process on the surface of the iridium layer. However, a drawback of this known method is the lattice mismatch between diamond and iridium of about 7.1%. This merely serves for producing a nanocrystalline diamond layer having many defects. Therefore, such diamond layers cannot be used as a starting material for electronic components. BRIEF DESCRIPTION OF THE DRAWINGS The embodiments may be better understood with reference to the following drawings and description. The components in the figures are not necessarily to scale. Moreover, in the figures, like-referenced numerals designate corresponding parts throughout the different views. FIG. 1 shows a cross-section through a diamond layer on a substrate; FIG. 2 shows Raman spectra of different intermediate layers; FIG. 3 shows the change in the lattice constant depending on the boron doping; and FIG. 4 shows a flow diagram of the method according to the invention. DETAILED DESCRIPTION A method can be provided by which a diamond layer of higher quality can be produced than by the known method from the prior art. One such method can comprise the following steps: providing a substrate; depositing a metal layer on at least a subarea of the substrate, wherein the metal layer contains, or consists of, at least one fourth, fifth or sixth period metal having a melting point of greater than or equal to 1200 K; and depositing a diamond layer on at least a subarea of the metal layer. The invention also relates to a diamond layer on a substrate, wherein a metal layer is present on at least a subarea of the substrate and contains, or consists of, a fourth, fifth or sixth period metal having a melting point of greater than or equal to 1200 K. The invention provides an epitaxial diamond layer and a method for the production thereof, in which the diamond is produced from a gas phase. For example, the gas phase can contain hydrogen admixed with a hydrocarbon in a concentration of about 1% to about 5%. In some embodiments of the invention, the hydrocarbon can be selected from methane, ethane and/or acetylene. In addition, the gas phase can contain dopants, e.g. boron or nitrogen. In order to deposit the diamond layer, the gas phase is activated, e.g. by a heated filament wire or by coupling microwave output. According to the invention, the epitaxial diamond layer is produced on a substrate. The substrate can contain, or consist of, diamond, and therefore a homoepitaxial diamond layer can be produced from the gas phase. In other embodiments of the invention, the substrate can contain another material. As a result, the diamond is deposited in heteroepitaxial fashion on the substrate. In some embodiments of the invention, a metal layer is arranged between substrate and epitaxial diamond layer and covers at least a subarea of the substrate. The metal layer contains at least one fourth, fifth or sixth period metal having a melting point of greater than or equal to 1200 K. In addition, the metal layer can contain further alloying constituents or unavoidable contaminations. In some embodiments of the invention, a pure elemental phase of a metal can also be arranged on the substrate as a metal layer. The employed metal or the employed alloy has a melting point of more than 1200 K, and therefore the metal layer does not evaporate or liquefy when the diamond layer is deposited on the substrate. In some embodiments of the invention, the metal layer is produced on the substrate prior to the deposition of the epitaxial diamond layer, e.g. by magnetron sputtering or thermal evaporation of at least one metal. After the deposition of the metal layer, an optional cleaning step can be provided, e.g. to remove oxide layers or other contaminations from the metal layer. The cleaning step can be selected from cleaning with a liquid solvent and/or thermal desorption and/or reactive ion sputtering. The diamond layer according to the invention is deposited on the metal layer, as already described above. According to the invention, it has been detected that the lattice mismatch and, resulting therefrom, the density of defects, such as dislocations, can be reduced when an intermediate layer having greater lattice constants than diamond and reduced hardness is produced between the metal layer and the diamond layer. The hardness of a thin metal layer can be determined from phonon energies for the purposes of the present invention. Within the meaning of the present description a soft layer or a layer having a reduced hardness designates a material which has reduced phonon energies. This means that the maximum of the distribution function in the Raman spectrum is at lower wave numbers. In some embodiments of the invention, the intermediate layer can have a thickness of about 0.5 μm up to about 10 μm. In other embodiments of the invention, the intermediate layer can have a thickness of about 2 μm to about 5 μm. Completely by surprise, it has been detected that, in spite of the remaining lattice mismatch, such an intermediate layer is suitable to absorb the mechanical stresses when the diamond layer grows, as a result of which the formation of grain boundaries and dislocations is significantly reduced and a diamond layer having improved crystal quality can be produced. In some embodiments of the invention, such a diamond layer can be used as a starting substrate for producing electronic components. In other embodiments of the invention, the diamond layer produced according to the invention can be used as a window having improved optical properties. For example, the absorption and/or the scattering of penetrating light can be reduced. In some embodiments of the invention, the substrate can contain, or consist of, diamond and/or silicon and/or magnesium oxide and/or strontium titanate. In some embodiments of the invention, the substrate can contain, or consist of, a metal oxide. Here, silicon has the advantage that the substrate material is also readily available for a large area. The use of diamond as a substrate material avoids the occurrence of mechanical stresses in the deposited diamond layer since the thermal coefficient of expansion of the substrate and the deposited diamond layer is almost identical. In some embodiments of the invention, the fourth, fifth or sixth period metal can be selected from platinum and/or iridium and/or rhenium and/or molybdenum. These metals have a sufficiently small lattice mismatch in relation to the resulting diamond layer and have a sufficiently high melting point. Therefore, the metal layer remains stable when the diamond is deposited from the gas phase. In some embodiments of the invention, the intermediate layer can contain, or consist of, diamond which is doped at least with boron. The lattice constant of the diamond changes by doping it with boron, and therefore an intermediate layer produced in such a way has a higher lattice constant than undoped crystalline diamond. Due to the doping of the diamond, the hardness thereof is simultaneously reduced and/or the intermediate layer has reduced phonon energies. Therefore, an intermediate layer produced in such a way it suitable to reduce mechanical stresses which result from the lattice mismatch in relation to the metal layer, and therefore the diamond layer can be deposited with improved crystal quality. In some embodiments of the invention, the number of boron atoms in the intermediate layer can be between about 2·1021 cm−3 and about 5·1022 cm−3. In other embodiments of the invention, the number of boron atoms in the intermediate layer can be between about 5·1021 cm−3 and about 1·1022 cm−3 or between about 5·1021 cm−3 and about 9·1021 cm−3. This doping is sufficient to effect the desired change in the lattice constant and the phonon energies, on the one hand, and not to change substantially the binding conditions of the diamond layer, on the other hand. In some embodiments of the invention, the lattice constant of the intermediate layer is higher by an amount δa than the lattice constant of the undoped diamond layer, wherein the ratio δa/a is selected between about 5·104 and about 4·10−3 or between about 1·10−3 and about 3·10−3. The use of a diamond layer as an intermediate layer here has the advantage that the intermediate layer and the diamond layer can be deposited in a single coating method successively so as to avoid contamination of the surface of the intermediate layer and to avoid elaborate handling between the production of the intermediate layer and the epitaxial diamond layer. In some embodiments of the invention, the number of boron atoms having the thickness of the intermediate layer can be reduced. In some embodiments of the invention, the intermediate layer can change in graded fashion into the epitaxial diamond layer. Such a graded transition avoids the occurrence of voltage peaks on the boundary layer between the intermediate layer and the epitaxial diamond layer, and therefore the adhesive strength can be increased and/or the defect density can be further reduced. The invention shall be explained in more detail below by means of figures without limiting the general inventive concept, wherein FIG. 1 shows a cross-section through a diamond layer according to the invention on a substrate. FIG. 2 shows Raman spectra of different intermediate layers. FIG. 3 shows the change in the lattice constant depending on the boron doping. FIG. 4 shows a flow diagram of the method according to the invention. FIG. 1 shows a layer system according to the invention, having an epitaxial diamond layer 1, an intermediate layer 2 according to the invention, a metal layer 3 and a substrate 4. In some embodiments of the invention, the substrate 4 can contain a metal oxide, silicon or diamond. In some embodiments of the invention, the substrate 4 can contain, or consist of, magnesium oxide and/or strontium titanate. The substrate can have a thickness of 100 μm to about 1000 μm or of about 200 μm to about 500 μm. The substrate can have a diameter of about 2.5 cm, about 5 cm, about 10 cm or about 30 cm. As a result, it is also possible to produce large areas of epitaxial diamond layers on the substrate. A metal layer 3, which contains, or consists of, at least one fourth, fifth or sixth period metal having a melting point of more than 1200 K, is disposed on the substrate 4. For example, the metal layer can contain iridium. Iridium can be produced as a pure elemental phase on the substrate 4, e.g. by sputter coating from a metal target. In some embodiments of the invention, the metal layer can have a thickness of about 1 μm to about 30 μm or about 3 μm to about 10 μm. The intermediate layer 2 according to the invention, which has a higher lattice constant and a lower hardness than the material of the epitaxial diamond layer 1 is disposed on the metal layer 3. A lower hardness within the meaning of the present invention refers to lower phonon energies which can be determined by Raman scattering, for example. In some embodiments of the invention, the intermediate layer 2 can contain, or consist of, a diamond layer which is deposited heteroepitaxially on the metal layer 3, said diamond layer containing a dopant. In some embodiments of the invention, the dopant can be boron having a concentration of about 1·1020 cm−3 to about 8·1021 cm−3. The concentration of the dopant can drop in the pattern of the intermediate layer 2, i.e. starting from the surface of the metal layer 3 to the transition to the epitaxial diamond layer 1, and therefore the density of the dopant is at a maximum on the surface of the metal layer 3 and is at a minimum on the side of the intermediate layer 2, facing the epitaxial diamond layer 1. In some embodiments of the invention, the intermediate layer 2 can be about 1 μm to about 10 μm. The epitaxial diamond layer 1 is produced on the intermediate layer. Like the intermediate layer 2, the diamond layer 1 can be deposited from an activated gas phase, e.g. according to a PECVD method. To this end, the activated gas phase can contain hydrogen as a carrier gas, which is admixed with between about 1% and about 5% of a hydrocarbon. The epitaxial diamond layer can have a thickness of about 10 μm to about 500 μm or a thickness of about 50 μm to about 200 μm. It is one of the advantages of the invention that the intermediate layer 2 reduces the lattice mismatch in relation to the metal layer 3, as a result of which thin diamond layers 1 having low defect density are also grown and thus have a high crystal quality which is required e.g. for substrates to produce electronic components. FIG. 2 shows Raman spectra of intermediate layers 2 having different composition. The intermediate layer contains in each case diamond which was produced heteroepitaxially from the gas phase on the metal layer 3. Spectra for three different concentrations of boron are shown which was used as a dopant in the illustrated exemplary embodiment. Here, the first curve shows measurement values for a boron content of 3000 ppm, the middle measurement curve shows data for a boron content of 6000 ppm and the third measurement curve shows measurement data for a boron content of 12000 ppm. As shown in FIG. 2, the maximum in the Raman spectrum is shifted to smaller wave numbers. This is an indicator of the fact that the phonon energy is reduced when the boron content increases. For the purposes of the present invention, a diamond layer having higher boron content is therefore considered to be a layer having reduced hardness. FIG. 3 shows the change in the lattice constant of an intermediate layer 2 deposited heteroepitaxially on the metal layer 3 depending on the boron content. The boron content between 5·1020 cm−3 and 7·1021 cm−3 is shown on the abscissa. The ordinate illustrates the numerical value for the ratio between the change in the lattice constant and the lattice constant of undoped material δa/a. As shown in FIG. 3, the lattice constant changes between about 5·10−4 and about 4·10−3 when the boron content of the intermediate layer increases. Therefore, a boron-doped diamond layer can be used as an intermediate layer according to the invention, which has a higher lattice constant than crystalline diamond and a reduced hardness. FIG. 4 shows a flow diagram of a method according to the invention. In the first method step 51, a substrate is provided, e.g. from silicon or diamond or a metal oxide. The substrate can be a monocrystalline substrate which has a predeterminable crystal orientation. The thermal coefficient of expansion of the substrate can be selected in such a way that there are only minor differences with respect to the thermal expansion of the epitaxial diamond layer to be produced. In a second method step 52, a metal layer is produced on at least a subarea of the substrate. For example, the metal layer can contain, or consist of, platinum, iridium, rhenium or molybdenum. The metal layer can be produced e.g. by sputter coating in a vacuum. In other embodiments of the invention, the metal layer can be produced by other, generally known methods, e.g. by electroplating. An optional cleaning step 53 can be carried out subsequently to the deposition of the metal layer. The cleaning step 53 can include e.g. wet chemical cleaning by means of a solvent. The solvent can be selected from water, an alcohol and/or an organic solvent, for example. Alternatively or additionally, the surface of the metal layer can be cleaned by thermal desorption or reactive ion sputtering. The intermediate layer according to the invention is deposited in method step 54 and has a greater lattice constant and a lower hardness than the epitaxial diamond layer to be produced. In some embodiments of the invention, a doped diamond layer can be used as the intermediate layer. For example, the diamond layer can be doped with boron. Like the epitaxial diamond layer, the intermediate layer 54 can also be deposited from an activated gas phase. In the last method step 55, the epitaxial diamond layer can be deposited in known manner from an activated gas phase. If the doped diamond layer is also used as an intermediate layer, the deposition of the intermediate layer and the epitaxial diamond layer can be carried out in a single operational step, wherein the supply of the dopant is reduced either abruptly or gradually at the transition between the method steps 54 and 55 to produce a nominally undoped epitaxial diamond layer on the doped intermediate layer. Of course, it is not excluded that the nominally undoped epitaxial diamond layer also contains unavoidable contaminations, e.g. also low boron doping which is caused by the preceding method step. In an optional method step which is not explained in more detail, the substrate and/or the metal layer can subsequently be removed. This can be made by mechanical processing, wet chemical etching or dry chemical etching so as to expose the epitaxial diamond layer 1. If only the metal layer 3 is removed, the substrate 4 can be reused to produce further epitaxial diamond layers. Of course, the invention is not limited to the embodiments illustrated in the drawings. Therefore, the above description should not be considered limiting but explanatory. The below claims should be comprehended in such a way that a feature mentioned is present in at least one embodiment of the invention. This does not rule out the presence of further features. In so far as “first” and “second” features are indicated, this designation serves for distinguishing two similar features without determining an order.",C30B25183,C30B2518,20160115,20180605,20160721,57669.0 38,14903033,ACCEPTED,METHOD AND APPARATUS FOR WIRELESS COMMUNICATION,"A method and an apparatus for wireless communication is provided. The apparatus configured for time division multiplex (TDM) between time division duplex (TDD) and frequency division duplex (FDD), comprises a radio frequency (RF) unit for transmitting and receiving a radio signal, and a processor operatively coupled to the RF unit, wherein the processor is configured for transmitting signals via the RF unit based on a scheduling for UL and/or DL, wherein the RF unit receives signal from at least one cell and transmits signal to at least one cell with carrier aggregation, and wherein the processor performs single transmission of signal on uplink and single reception of signal on downlink at one subframe via the RF unit.","1. An user equipment (UE) configured for time division multiplex (TDM) between time division duplex (TDD) and frequency division duplex (FDD), the UE comprising: a radio frequency (RF) unit for transmitting and receiving a radio signal; and a processor operatively coupled to the RF unit, wherein the processor is configured for transmitting signals via the RF unit based on a scheduling for UL and/or DL, wherein the RF unit receives signal from at least one cell and transmits signal to at least one cell with carrier aggregation, and wherein the processor performs single transmission of signal on uplink and single reception of signal on downlink at one subframe via the RF unit. 2. The UE of claim 1, wherein the processor performs single transmission of signal on uplink and single reception of signal on downlink at one subframe for single component carrier via the RF unit. 3. The UE of claim 1, wherein primary cell (Pcell) is configured with FDD when the UE has half-duplex FDD capability and secondary cell (Scell) is configured with TDD. 4. The UE of claim 1, wherein downlink is shared between FDD downlink subframes and TDD downlink subframes for the UE, and uplink is shared between FDD uplink subframe and TDD uplink subframes for the UE in a TDM manner. 5. The UE of claim 4, wherein uplink/downlink is re-configured for PCell after uplink/downlink is configured for SCell or SCell is configured. 6. The UE of claim 1, wherein TDD downlink transmission is scheduled based on delay caused by at least one of propagation and frequency switching when transition from TDD to FDD occurred. 7. The UE of claim 7, wherein PCell is scheduled based on the delay caused by propagation and SCell is scheduled based on the delay caused by frequency switching. 8. The UE of claim 7, wherein the transition between TDD and FDD is performed at special subframe when PCell is unavailable for adjusting the delay. 9. The UE of claim 1, wherein FDD downlink and FDD uplink are configured for PCell and TDD uplink and FDD downlink are configured for SCell. 10. The UE of claim 1, wherein TDD is configured for PCell and FDD is configured for SCell. 11. The UE of claim 10, wherein the processor adjusts timing of ACK/NACK signal for uplink transmission by backhaul latency between PCell and SCell. 12. A method of time division multiplex (TDM) between time division duplex (TDD) and frequency division duplex (FDD) by a user equipment (UE), the method comprising: receiving signal from at least one cell according to the downlink scheduling; and transmitting signal to at least one cell according to the uplink scheduling, wherein single transmission of signal is performed on uplink and single reception of signal is performed on downlink at one subframe. 13. The method of claim 12, wherein the processor performs single transmission of signal on uplink and single reception of signal on downlink at one subframe for single component carrier. 14. The method of claim 12, downlink is shared between FDD downlink subframes and TDD downlink subframes for the UE, and uplink is shared between FDD uplink subframe and TDD uplink subframes for the UE. 15. The method of claim 12, wherein TDD downlink transmission is scheduled based on delay caused by at least one of propagation and frequency switching when transition from TDD to FDD occurred."," BACKGROUND ART 3rd generation partnership project (3GPP) long term evolution (LTE) is an improved version of a universal mobile telecommunication system (UMTS) and a 3GPP release 8. The 3GPP LTE uses orthogonal frequency division multiple access (OFDMA) in a downlink, and uses single carrier-frequency division multiple access (SC-FDMA) in an uplink. The 3GPP LTE employs multiple input multiple output (MIMO) having up to four antennas. In recent years, there is an ongoing discussion on 3GPP LTE-advanced (LTE-A) that is an evolution of the 3GPP LTE. The commercialization of the 3GPP LTE (A) system is being recently accelerated. The LTE systems are spread more quickly as respond to users' demand for services that may support higher quality and higher capacity while ensuring mobility, as well as voice services. The LTE system provides for low transmission delay, high transmission rate and system capacity, and enhanced coverage. To increase the capacity for the users' demand of services, increasing the bandwidth may be essential, a carrier aggregation (CA) technology or resource aggregation over intra-node carriers or inter-node carriers aiming at obtaining an effect, as if a logically wider band is used, by grouping a plurality of physically non-continuous bands in a frequency domain has been developed to effectively use fragmented small bands. Individual unit carriers grouped by carrier aggregation is known as a component carrier (CC). For inter-node resource aggregation, for each node, carrier group (CG) can be established where one CG can have multiple CCs. Each CC is defined by a single bandwidth and a center frequency. A system in which data is transmitted and/or received in a broadband through a plurality of CCs is referred to as a multi-component carrier system (multi-CC system) or a CA environment. A system in which data is transmitted and/or received in a broadband through a plurality of CGs is referred to as a inter-node resource aggregation or dual connectivity environment. The multi-component carrier system and dual connectivity system perform both a narrow band and a broad band by using one or more carriers. For example, when an each carrier corresponds to a bandwidth of 20 MHz, a bandwidth of a maximum of 100 MHz may be supported by using five carriers. In order to operate the multi-CC system, various control signals are required between a base station (BS) as an enhanced Node B (eNB) and an user equipment (UE) as a Terminal. Also an efficient cell planning for multi-CCs is required. Also various signals or efficient cell planning schemes are required to transmit between the eNB and the UE to support inter-cell interference reduction and carrier extensions. Furthermore, inter-node resource allocation by tight coordination among eNBs for a UE is also feasible where multi-CC aggregation is achieved over multiple eNBs/nodes. An efficient operation scheme for the cell planning including a new carrier which is necessarily transmitted restricted or eliminated controls and RS signals, and further UE's operation in a small cell cluster environment needs to be defined. The efficient operation includes proper monitoring and synchronization timing for small cells and macro cell. When different duplex mode (FDD and TDD) carriers are aggregated, new issues are raised. One issue would be the capability of simultaneous reception and transmission at the UE either due to hardware capability (half-duplex UEs) or due to interference between FDD and TDD carriers.", SUMMARY OF INVENTION ,"TECHNICAL FIELD The application is related to a wireless communication, more specifically related to time division multiplex between time division duplex and frequency division duplex for a FDD-TDD dual mode UE. BACKGROUND ART 3rd generation partnership project (3GPP) long term evolution (LTE) is an improved version of a universal mobile telecommunication system (UMTS) and a 3GPP release 8. The 3GPP LTE uses orthogonal frequency division multiple access (OFDMA) in a downlink, and uses single carrier-frequency division multiple access (SC-FDMA) in an uplink. The 3GPP LTE employs multiple input multiple output (MIMO) having up to four antennas. In recent years, there is an ongoing discussion on 3GPP LTE-advanced (LTE-A) that is an evolution of the 3GPP LTE. The commercialization of the 3GPP LTE (A) system is being recently accelerated. The LTE systems are spread more quickly as respond to users' demand for services that may support higher quality and higher capacity while ensuring mobility, as well as voice services. The LTE system provides for low transmission delay, high transmission rate and system capacity, and enhanced coverage. To increase the capacity for the users' demand of services, increasing the bandwidth may be essential, a carrier aggregation (CA) technology or resource aggregation over intra-node carriers or inter-node carriers aiming at obtaining an effect, as if a logically wider band is used, by grouping a plurality of physically non-continuous bands in a frequency domain has been developed to effectively use fragmented small bands. Individual unit carriers grouped by carrier aggregation is known as a component carrier (CC). For inter-node resource aggregation, for each node, carrier group (CG) can be established where one CG can have multiple CCs. Each CC is defined by a single bandwidth and a center frequency. A system in which data is transmitted and/or received in a broadband through a plurality of CCs is referred to as a multi-component carrier system (multi-CC system) or a CA environment. A system in which data is transmitted and/or received in a broadband through a plurality of CGs is referred to as a inter-node resource aggregation or dual connectivity environment. The multi-component carrier system and dual connectivity system perform both a narrow band and a broad band by using one or more carriers. For example, when an each carrier corresponds to a bandwidth of 20 MHz, a bandwidth of a maximum of 100 MHz may be supported by using five carriers. In order to operate the multi-CC system, various control signals are required between a base station (BS) as an enhanced Node B (eNB) and an user equipment (UE) as a Terminal. Also an efficient cell planning for multi-CCs is required. Also various signals or efficient cell planning schemes are required to transmit between the eNB and the UE to support inter-cell interference reduction and carrier extensions. Furthermore, inter-node resource allocation by tight coordination among eNBs for a UE is also feasible where multi-CC aggregation is achieved over multiple eNBs/nodes. An efficient operation scheme for the cell planning including a new carrier which is necessarily transmitted restricted or eliminated controls and RS signals, and further UE's operation in a small cell cluster environment needs to be defined. The efficient operation includes proper monitoring and synchronization timing for small cells and macro cell. When different duplex mode (FDD and TDD) carriers are aggregated, new issues are raised. One issue would be the capability of simultaneous reception and transmission at the UE either due to hardware capability (half-duplex UEs) or due to interference between FDD and TDD carriers. SUMMARY OF INVENTION Technical Problem An object of the present invention is to provide a method and an apparatus for TDM between TDD and FDD. Another object of the present invention is to provide a method for a UE of dual mode with single Tx/Rx capability in one subframe under TDM between TDD and FDD. Solution to Problem An embodiment for the present invention is an user equipment (UE) supporting FDD-TDD dual mode configured for time division multiplex (TDM) between time division duplex (TDD) and frequency division duplex (FDD) with single RF and baseband capability. Here, the UE comprises a radio frequency (RF) unit for transmitting and receiving a radio signal and a processor operatively coupled to the RF unit, wherein the processor is configured for transmitting signals via the RF unit based on a scheduling for UL and/or DL, wherein the RF unit receives signal from at least one cell and transmits signal to at least one cell with carrier aggregation, and wherein the processor performs single transmission of signal on uplink and single reception of signal on downlink at one subframe via the RF unit. An another embodiment for the present invention is a method of time division multiplex (TDM) between time division duplex (TDD) and frequency division duplex (FDD) by a user equipment (UE). Here, the method comprises receiving signal from at least one cell according to the downlink scheduling, and transmitting signal to at least one cell according to the uplink scheduling, wherein single transmission of signal is performed on uplink and single reception of signal is performed on downlink at one subframe. The proposed methods can be applied to general UEs supporting FDD and TDD. Advantageous Effects of Invention According to the present invention, TDM can be efficiently performed between TDD and FDD by a FDD-TDD dual mode UE. According to the present invention, wireless communication system can be operated efficiently for single Tx/Rx in one subframe under TDM can be efficiently performed between TDD and FDD. According to the present invention, a UE can be efficiently operated when the UE has dual mode supporting both FDD and TDD and capability of simultaneous Tx/Rx in a subframe. BRIEF DESCRIPTION OF DRAWINGS FIG. 1 shows a wireless communication system to which the present invention is applied. FIG. 2 shows an exemplary concept for a carrier aggregation (CA) technology according to an exemplary embodiment of the present invention. FIG. 3 shows a structure of a radio frame to which the present invention is applied. FIG. 4 shows downlink control channels to which the present invention is applied. FIG. 5 shows an example of dual connectivity to a macro cell and a small cell. FIG. 6 shows an example of a protocol architecture supporting dual connectivity. FIG. 7 briefly illustrates an example of dual connectivity which the UE supports. FIG. 8 briefly illustrates an example of TDD/FDD configuration. FIGS. 9 to 13 briefly describe available approaches for a FDD/TDD dual mode UE with single RX and TX capability. FIG. 14 briefly describes an example of TDD/FDD dual mode block diagram. FIG. 15 briefly illustrates gap to handle different propagation delay and frequency switching delay. FIG. 16 briefly illustrates uplink timing change by PCell to absorb the gap. FIG. 17 briefly illustrates UL special subframe. FIG. 18 briefly illustrates guard period utilization. FIG. 19 briefly illustrates the case that uplink timing for TDD eNB and downlink timing for TDD eNB is adjusted to absorb the gap latency. FIG. 20 briefly illustrates an example of gap to handle different propagation delay and frequency switching delay. FIG. 21 briefly illustrates this alternative example frequency switching. FIG. 22 briefly illustrates an example of dual connectivity between TDD macro cell and FDD small cell. FIG. 23 briefly describes TDD and FDD configuration corresponding to the case of FIG. 22. FIG. 24 briefly illustrates an example of continuous DL (upper) and UL (below) FDD operation. FIG. 25 is a flow chart briefly describing an operation of UE. FIG. 26 is a block diagram which briefly describes a wireless communication system including an UE and a BS. MODE FOR THE INVENTION FIG. 1 shows a wireless communication system to which the present invention is applied. The wireless communication system may also be referred to as an evolved-UMTS terrestrial radio access network (E-UTRAN) or a long term evolution (LTE)/LTE-A system. The E-UTRAN includes at least one base station (BS) 20 which provides a control plane and a user plane to an user equipment (UE) 10. The UE 10 may be fixed or mobile, and may be referred to as another terminology, such as a mobile station (MS), a user terminal (UT), a subscriber station (SS), a mobile terminal (MT), a wireless device, etc. The BS 20 is generally a fixed station that communicates with the UE 10 and may be referred to as another terminology, such as an evolved node-B (eNB), a base transceiver system (BTS), an access point, a cell, node-B, or node etc. Multi-access schemes applied to the wireless communication system are not limited. Namely, various multi-access schemes such as CDMA (Code Division Multiple Access), TDMA (Time Division Multiple Access), FDMA (Frequency Division Multiple Access), OFDMA (Orthogonal Frequency Division Multiple Access), SCFDMA (Single Carrier-FDMA), OFDM-FDMA, OFDM-TDMA, OFDM-CDMA, or the like, may be used. For uplink transmission and downlink transmission, a TDD (Time Division Duplex) scheme in which transmission is made by using a different time or an FDD (Frequency Division Duplex) scheme in which transmission is made by using different frequencies may be used. The BSs 20 are interconnected by means of an X2 interface. The BSs 20 are also connected by means of an S1 interface to an evolved packet core (EPC) 30, more specifically, to a mobility management entity (MME) through S1-MME and to a serving gateway (S-GW) through S1-U. The EPC 30 includes an MME, an S-GW, and a packet data network-gateway (P-GW). The MME has access information of the UE or capability information of the UE, and such information is generally used for mobility management of the UE. The S-GW is a gateway having an E-UTRAN as an end point. The P-GW is a gateway having a PDN as an end point. Layers of a radio interface protocol between the UE and the network can be classified into a first layer (L1), a second layer (L2), and a third layer (L3) based on the lower three layers of the open system interconnection (OSI) model that is well-known in the communication system. Among them, a physical (PHY) layer belonging to the first layer provides an information transfer service by using a physical channel, and a radio resource control (RRC) layer belonging to the third layer serves to control a radio resource between the UE and the network. For this, the RRC layer exchanges an RRC message between the UE and the BS. More details, radio protocol architecture for a user plane (U-plane) and a control plane (C-plane) are explained. A PHY layer provides an upper layer with an information transfer service through a physical channel. The PHY layer is connected to a medium access control (MAC) layer which is an upper layer of the PHY layer through a transport channel. Data is transferred between the MAC layer and the PHY layer through the transport channel. The transport channel is classified according to how and with what characteristics data is transferred through a radio interface. Between different PHY layers, i.e., a PHY layer of a transmitter and a PHY layer of a receiver, data are transferred through the physical channel. The physical channel may be modulated using an orthogonal frequency division multiplexing (OFDM) scheme, and may utilize time and frequency as a radio resource. Functions of the MAC layer include mapping between a logical channel and a transport channel and multiplexing/de-multiplexing on a transport block provided to a physical channel over a transport channel of a MAC service data unit (SDU) belonging to the logical channel. The MAC layer provides a service to a radio link control (RLC) layer through the logical channel. Functions of the RLC layer include RLC SDU concatenation, segmentation, and reassembly. To ensure a variety of quality of service (QoS) required by a radio bearer (RB), the RLC layer provides three operation modes, i.e., a transparent mode (TM), an unacknowledged mode (UM), and an acknowledged mode (AM). The AM RLC provides error correction by using an automatic repeat request (ARQ). Functions of a packet data convergence protocol (PDCP) layer in the user plane include user data delivery, header compression, and ciphering. Functions of a PDCP layer in the control plane include control-plane data delivery and ciphering/integrity protection. A radio resource control (RRC) layer is defined only in the control plane. The RRC layer serves to control the logical channel, the transport channel and the physical channel in association with configuration, reconfiguration and release of radio bearers (RBs). An RB is a logical path provided by the first layer (i.e., the PHY layer) and the second layer (i.e., the MAC layer, the RLC layer, and the PDCP layer) for data delivery between the UE and the network. The setup of the RB implies a process for specifying a radio protocol layer and channel properties to provide a particular service and for determining respective detailed parameters and operations. The RB can be classified into two types, i.e., a signaling RB (SRB) and a data RB (DRB). The SRB is used as a path for transmitting an RRC message in the control plane. The DRB is used as a path for transmitting user data in the user plane. When an RRC connection is established between an RRC layer of the UE and an RRC layer of the network, the UE is in an RRC connected state (it may also be referred to as an RRC connected mode), and otherwise the UE is in an RRC idle state (it may also be referred to as an RRC idle mode). FIG. 2 shows an exemplary concept for a carrier aggregation (CA) technology according to an exemplary embodiment of the present invention. Referring to FIG. 2, the downlink (DL)/uplink (UL) subframe structure considered in 3GPP LTE-A (LTE-Advanced) system where multiple CCs are aggregated (in this example, 3 carriers exist) is illustrated, a UE can monitor and receive DL signal/data from multiple DL CCs at the same time. However, even if a cell is managing N DL CCs, the network may configure a UE with M DL CCs, where M≦N so that the UE's monitoring of the DL signal/data is limited to those M DL CCs. In addition, the network may configure L DL CCs as the main DL CCs from which the UE should monitor/receive DL signal/data with a priority, either UE-specifically or cell specifically, where L≦M≦N. So the UE may support one or more carriers (Carrier 1 or more Carriers 2 . . . N) according to UE's capability thereof. A Carrier or a cell may be divided into a primary component carrier (PCC) and a secondary component carrier (SCC) depending on whether or not they are activated. A PCC is always activated, and an SCC is activated or deactivated according to particular conditions. That is, a PCell (primary serving cell) is a resource in which the UE initially establishes a connection (or a RRC connection) among several serving cells. The PCell serves as a connection (or RRC connection) for signaling with respect to a plurality of cells (CCs), and is a special CC for managing UE context which is connection information related to the UE. Further, when the PCell (PCC) establishes the connection with the UE and thus is in an RRC connected mode, the PCC always exists in an activation state. A SCell (secondary serving cell) is a resource assigned to the UE other than the PCell (PCC). The SCell is an extended carrier for additional resource assignment, etc., in addition to the PCC, and can be divided into an activation state and a deactivation state. The SCell is initially in the deactivation state. If the SCell is deactivated, it includes not transmit sounding reference signal (SRS) on the SCell, not report CQI/PMI/RI/PTI for the SCell, not transmit on UL-SCH on the SCell, not monitor the PDCCH on the SCell, not monitor the PDCCH for the SCell. The UE receives an Activation/Deactivation MAC control element in this TTI activating or deactivating the SCell. To enhance the user throughput, it is also considered to allow inter-node resource aggregation over more than one eNB/node where a UE may be configured with more than one carrier groups. It is configured PCell per each carrier group which particularly may not be deactivated. In other words, PCell per each carrier group may maintain its state to active all the time once it is configured to a UE. In that case, serving cell index i corresponding to a PCell in a carrier group which does not include serving cell index 0 which is a master PCell cannot be used for activation/deactivation. More particularly, if serving cell index 0, 1, 2 are configured by one carrier group whereas serving cell index 3, 4, 5 are configured by the other carrier group in two carrier group scenarios where serving cell index 0 is PCell and serving cell index 3 is the PCell of the second carrier group, then only bits corresponding 1 and 2 are assumed to be valid for the first carrier group cell activation/deactivation messages whereas bits corresponding 4 and 5 are assumed to be valid for the second carrier group cell activation/deactivation. To make some distinction between PCell for the first carrier group and the second carrier group, the PCell for the second carrier group can be noted as S-PCell hereinafter. Herein, the index of the serving cell may be a logical index determined relatively for each UE, or may be a physical index for indicating a cell of a specific frequency band. The CA system supports a non-cross carrier scheduling of self-carrier scheduling, or cross carrier scheduling. FIG. 3 shows a structure of a radio frame to which the present invention is applied. Referring to FIG. 3, a radio frame includes 10 subframes, and one subframe includes two slots. The time taken for one subframe to be transmitted is called a Transmission Time Interval (TTI). For example, the length of one subframe may be 1 ms, and the length of one slot may be 0.5 ms. One slot includes a plurality of OFDM symbols in the time domain and includes a plurality of Resource Blocks (RBs) in the frequency domain. An OFDM symbol is for representing one symbol period because downlink OFDMA is used in 3GPP LTE system and it may be called an SC-FDMA symbol or a symbol period depending on a multi-access scheme. An RB is a resource allocation unit, and it includes a plurality of contiguous subcarriers in one slot. The number of OFDM symbols included in one slot may vary according to the configuration of the CP (Cyclic Prefix). The CP includes an extended CP and a normal CP. For example, if normal CP case, the OFDM symbol is composed by 7. If configured by the extended CP, it includes 6 OFDM symbols in one slot. If the channel status is unstable such as moving at a fast pace UE, the extended CP can be configured to reduce an inter-symbol interference. Herein, the structure of the radio frame is only illustrative, and the number of subframes included in a radio frame, or the number of slots included in a subframe, and the number of OFDM symbols included in a slot may be changed in various ways to apply new communication system. This invention has no limitation to adapt to other system by varying the specific feature and the embodiment of the invention can apply with changeable manners to a corresponding system. The downlink slot includes a plurality of OFDM symbols in the time domain. For example, one downlink slot is illustrated as including 7 OFDMA symbols and one Resource Block (RB) is illustrated as including 12 subcarriers in the frequency domain, but not limited thereto. Each element on the resource grid is called a Resource Element (RE). One resource block includes 12×7 (or 6) REs. The number NAL of resource blocks included in a downlink slot depends on a downlink transmission bandwidth that is set in a cell. Bandwidths that are taken into account in LTE are 1.4 MHz, 3 MHz, 5 MHz, 10 MHz, 15 MHz, and 20 MHz. If the bandwidths are represented by the number of resource blocks, they are 6, 15, 25, 50, 75, and 100, respectively. The former 0 or 1 or 2 or 3 OFDM symbols of the first slot within the subframe correspond to a control region to be assigned with a control channel, and the remaining OFDM symbols thereof become a data region to which a physical downlink shared chancel (PDCCH) is allocated. Examples of downlink control channels include a Physical Control Format Indicator Channel (PCFICH), a Physical Downlink Control Channel (PDCCH), and a Physical Hybrid-ARQ Indicator Channel (PHICH). The PCFICH transmitted in a 1st OFDM symbol of the subframe carries a control format indicator (CFI) regarding the number of OFDM symbols (i.e., a size of the control region) used for transmission of control channels in the subframe, that is, carries information regarding the number of OFDM symbols used for transmission of control channels within the subframe. The UE first receives the CFI on the PCFICH, and thereafter monitors the PDCCH. The PHICH carries acknowledgement (ACK)/not-acknowledgement (NACK) signals in response to an uplink Hybrid Automatic Repeat Request (HARM). That is, ACK/NACK signals for uplink data that has been transmitted by a UE are transmitted on a PHICH. A PDCCH (or ePDCCH) is a downlink physical channel, a PDCCH can carry information about the resource allocation and transmission format of a Downlink Shared Channel (DL-SCH), information about the resource allocation of an Uplink Shared Channel (UL-SCH), paging information about a Paging Channel (PCH), system information on a DL-SCH, information about the resource allocation of a higher layer control message, such as a random access response transmitted on a PDSCH, a set of transmit power control commands for UEs within a certain UE group, the activation of a Voice over Internet Protocol (VoIP), etc. A plurality of PDCCHs may be transmitted within the control region, and a UE can monitor a plurality of PDCCHs. The PDCCH is transmitted on one Control Channel Element (CCE) or on an aggregation of some contiguous CCEs. A CCE is a logical assignment unit for providing a coding rate according to the state of a radio channel to a PDCCH. The CCE corresponds to a plurality of resource element groups (REGs). A format of the PDCCH and the number of bits of the available PDCCH are determined according to a correlation between the number of CCEs and the coding rate provided by the CCEs. The wireless communication system of the present invention uses blind decoding for Physical Downlink Control Channel (PDCCH) detection. The blind decoding is a scheme in which a desired identifier is de-masked from a CRC of a PDCCH to determine whether the PDCCH is its own channel by performing CRC error checking. An eNB determines a PDCCH format according to a Downlink Control Information (DCI) to be transmitted to a UE. Thereafter, the eNB attaches a cyclic redundancy check (CRC) to the DCI, and masks a unique identifier (referred to as a radio network temporary identifier (RNTI)) to the CRC according to an owner or usage of the PDCCH. For example, if the PDCCH is for a specific UE, a unique identifier (e.g., cell-RNTI (C-RNTI)) of the UE may be masked to the CRC. Alternatively, if the PDCCH is for a paging message, a paging indicator identifier (e.g., paging-RNTI (e.g., P-RNTI)) may be masked to the CRC. If the PDCCH is for system information (more specifically, a system information block (SIB) to be described below), a system information identifier and system information RNTI (e.g., SI-RNTI) may be masked to the CRC. To indicate a random access response that is a response for transmission of a random access preamble of the UE, a random access-RNTI (e.g., RA-RNTI) may be masked to the CRC. Thus, the BS determines a PDCCH format according to a Downlink Control Information (DCI) to be transmitted to the UE, and attaches a cyclic redundancy check (CRC) to control information. The DCI includes uplink or downlink scheduling information or includes an uplink transmit (Tx) power control command for arbitrary UE groups. The DCI is differently used depending on its format, and it also has a different field that is defined within the DCI. Meanwhile, an uplink subframe may be divided into a control region to which a physical uplink control channel (PUCCH) that carries uplink control information is allocated; the control information includes an ACK/NACK response of downlink transmission. A data region to which physical uplink shared channel (PUCCH) that carries user data is allocated in the frequency domain. The PUCCH may support multiple formats. Namely, it can transmit uplink control information having different number of bits per subframe according to a modulation scheme. PUCCH format 1 is used to transmit a scheduling request (SR), and PUCCH formats 1a and 1b are used to transmit an HARQ ACK/NACK signal. PUCCH format 2 is used to transmit a channel quality indication (CQI), and PUCCH formats 2a and 2b are used to transmit a CQI and a HARQ ACK/NACK. When an HARQ ACK/NACK is transmitted alone, PUCCH formats 1a and 1b are used, and when an SR is transmitted alone, PUCCH format 1 is used. And PUCCH format 3 may be used for the TDD system, and also the FDD system. PUCCH format 3 can be used to enable the possibility of transmitting more than four bits in an efficient way, even though PUCCH format 3 also be used for transmitting less four bits of signal. The basis of PUCCH format 3 is DFT (Discrete Fourier Transform)-precoded OFDM. Up to five terminals may share the same resource-block pair for PUCCH format 3 when a length-5 orthogonal sequence is used with each of the five OFDM symbol carrying data in a slot being multiplied by one element of the sequence. A terminal (eNB and/or UE) can be configured with more than one resource (e.g. four different resources) for PUCCH format 3. Herein, an ePDCCH can be one of solutions of limitation for a PDCCH transmission or new control information transmission of near future communication system including a new type of carrier as shown in FIG. 4. FIG. 4 shows downlink control channels to which the present invention is applied. The ePDCCH which can be multiplexed with the PDSCH can support multiple Scells of the CA. Referring to FIG. 4, the UE can monitor a plurality of PDCCH/ePDCCHs within the control region and/or data region. As the PDCCH is transmitted on CCE, ePDCCH can be transmitted on eCCE (enhanced CCE) as an aggregation of some contiguous CCEs, the eCCE corresponds to a plurality of REGs. If ePDCCH is more efficient than PDCCH, it is worthwhile to have subframes where only ePDCCHs are used without PDCCHs. The PDCCHs and new ePDCCH only subframes, or have only ePDCCH only subframes can be in a new type of carrier as NC which has both legacy LTE subframes. It is still assumed that MBSFN subframes exist in a new carrier NC. Whether to use PDCCH in multimedia broadcast single frequency network (MBSFN) subframes in NC and how many ODFM symbols will be allocated if used can be configured via RRC signaling. Further TM10 and new TM mode of UE can be considered for new carrier type as well. Hereafter, new carrier type refers to a carrier where all or part of legacy signals can be omitted or transmitted in different manners. For example, a new carrier may refer a carrier where a cell-specific common reference signal (CRS) may be omitted in some subframes or physical broadcast channel (PBCH) may not be transmitted. Meanwhile, a UE may receive signals from more than one cell and transmit signals to more than one cell under some circumstances. Small cells using low power nodes are considered promising to cope with mobile traffic explosion, especially for hotspot deployments in indoor and outdoor scenarios. A low-power node generally means a node whose transmission (Tx) power is lower than macro node and base station (BS) classes, for example a pico and femto eNodeB (eNB) are both applicable. Dual connectivity is an operation where a given UE consumes radio resources provided by at least two different network points (master eNB (MeNB) and secondary eNB (SeNB)) connected with non-ideal backhaul while in RRC_CONNECTED. FIG. 5 shows an example of dual connectivity to a macro cell and a small cell. Referring to FIG. 5, the UE is connected to both the macro cell and the small cell. A macro cell eNB serving the macro cell may be called as a MeNB in dual connectivity, and a small cell eNB serving the small cell may be called as a SeNB in dual connectivity. The MeNB is an eNB which terminates at least S1-MME and therefore act as mobility anchor towards the core network (CN) in dual connectivity. If a macro eNB exists, the macro eNB may function as the MeNB, generally. The SeNB is an eNB providing additional radio resources for the UE, which is not the MeNB, in dual connectivity. The SeNB is responsible for transmitting best effort (BE) type traffic, while the MeNB is responsible for transmitting other types of traffic such as VoIP, streaming data, or signaling data. The interface between the MeNB and SeNB is called Xn interface. The Xn interface is assumed to be non-ideal, i.e., the delay in Xn interface could be up to 60 ms such as CASES 2 and 3 of this application described above. FIG. 6 shows an example of a protocol architecture supporting dual connectivity. To support dual connectivity, various protocol architectures have been studied. Referring to FIG. 6, PDCP and RLC entities are located in different network nodes, i.e., PDCP entities in the MeNB and RLC entities in the SeNB. In the UE side, the protocol architecture is same as the prior art except that the MAC entity is setup for each eNB (i.e., the MeNB and SeNB). This application describes a few approaches to utilize “single RX and TX capability” of a FDD/TDD dual mode UE under various scenarios. A UE may have multiple RX and TX capability to support carrier aggregation scenario. In those cases, the proposed method and apparatus may be applicable to one RX/TX pair. For example, a UE supports carrier aggregation up to 2 component carriers (2 CCs) with dual RX and TX capability, one pair of RX/TX pair which supports dual mode of FDD and TDD can be used for supporting scenarios described in this application. The overall goal of various embodiments of the present application is to maximize the user throughput and minimize the service interruption time (due to hand-over, etc) within the UE capability. If UE is equipped with single RX and TX capability, a type of Time Division Multiplexing (TDM) scheme may be used for dual connectivity and if UE is equipped with multiple RX and TX capability, control plane can be supported by a RX/TX pair which can be shared for user plane data transmission/reception. Without loss of generality, from now on, it can be assumed that a UE has single RX/TX capability with FDD and TDD dual mode support. Since the UE has single RX/TX capability, to support dual connectivity, UE needs to be scheduled with one downlink and/or uplink at one time (assuming no cross-subframe scheduling is used). More specifically, the UE shall be scheduled with downlink either from macro eNB or small cell eNB (or C/U-Plane CC respectively) and/or uplink scheduling transmitted for either macro eNB or small cell eNB (or C/U-Plane CC respectively). FIG. 7 briefly illustrates an example of dual connectivity which the UE supports. Referring to FIG. 7, the UE 1 is connected with macro cell and small cell. The macro cell is configured with FDD and the small cell is configured with TDD. As shown in FIG. 7, f1 and f2 are bands for FDD and f3 is a band for TDD. FIG. 8 briefly illustrates an example of TDD/FDD configuration. Here, f1 is a band configured for FDD downlink from the macro eNB and f2 is a band configured for FDD uplink to the macro eNB. Further, f3 is a band configured for TDD and the small cell eNB. In addition, for TDD, “D” means for downlink, “U” means for uplink and “S” means for special subframe (SUL). However, when the UE supports FDD/TDD dual mode but just has single RX and TX capability, it needs to adjust or schedule downlink and uplink between macro cell and small cell. Furthermore, when a UE has multiple RX and TX capability, to support dual connectivity and carrier aggregation effectively, single RX and TX capability can be used for TDM between FDD/TDD as proposed in this application. Thus, in this case, other approaches besides the case of FIG. 8 are needed for FDD/TDD dual mode UE with single RX and TX capability. FIGS. 9 to 13 briefly describe available approaches for a FDD/TDD dual mode UE with single RX and TX capability. Specifically, with single RX and TX capability for a FDD/TDD dual mode UE, a few alternative approaches as shown in FIGS. 9 to 13 can be considered to support dual connectivity (one to the macro eNB which handles mobility issue for the UE and the other to the small cell eNB which maintains connection mainly for data offloading). Since C-Plane may have only sporadic data transmissions, it is natural to consider time-share the UE capability of TX and RX between FDD (PCell) and TDD (SCell). This invention discusses the detail considerations to realize different options. FIG. 9 briefly describes an option of the present invention. FIG. 9 illustrates that TDD subframes of small cell and FDD subframes of macro cell. In this case of FIG. 9, partial subframes of FDD downlink subframes are configured for the UE. FIG. 10 briefly describes another option of the present invention. FIG. 10 illustrates that TDD subframes of small cell and FDD subframes of macro cell. In this case of FIG. 9, partial subframes of FDD downlink subframes are configured for the UE. Different from option of FIG. 9, in FIG. 10, the TDD downlink timing is adjusted as shown. The option of FIG. 10 may be a variation of option of FIG. 9. FIG. 11 briefly describes yet another option of the present invention. FIG. 11 illustrates TDM between TDD uplink and FDD uplink. In this case of FIG. 11, FDD is also configured for macro cell and TDD is configured for small cell. FIG. 12 briefly describes yet another option of the present invention. FIG. 12 illustrates TDM between TDD and FDD. In this case of FIG. 12, TDD is configured for small cell and FDD is configured for macro cell. FIG. 13 briefly describes yet another option of the present invention. FIG. 13 illustrates that TDM between TDD and FDD. In this case of FIG. 13, FDD is configured for macro cell and TDD is configured for small cell. Different from option of FIG. 12, in FIG. 13, the TDD timing is adjusted for downlink and uplink timing is adjusted as shown. The option of FIG. 13 may be a variation of option of FIG. 12. For the case of LTE, LTE modem has TX and RX capability. For FDD capable UE, in general two receive modules are equipped to support simultaneous TX and RX (one for self-cancellation of transmission signal and the other for receiving). This application provides a few options to utilize the FDD UE capability to support TDD CA (where supporting two TDD carriers) or to support TDD/FDD CA (where supporting two carriers in TDM fashion). FIG. 14 briefly describes an example of TDD/FDD dual mode block diagram. As shown in FIG. 14, one approach to support FDD/TDD dual mode is to use “switch” at both TX side and RX side separately where TX is associated with filter and RX is associated with noise filter. If FDD/TDD dual mode is supported for a pair of frequency bands for FDD and TDD, it can be expected that dynamic switching between FDD and TDD may be feasible as well. Yet, the overhead for frequency tuning and timing issue should be considered for dynamic switching support. Embodiments or examples of present invention are based on this idea to support various scenarios where FDD and TDD bands are available. Even though a UE can be operated only in either FDD or TDD (semi-static switch only), dynamic switch between FDD and TDD may allow “dual connectivity” or carrier aggregation between FDD and TDD carrier for the UE without requiring additional hardware complexity to support two carriers (one FDD carrier and one TDD carrier). These inventions on the present application allow dual connectivity or carrier aggregation for a FDD/TDD dual mode UE (however it may not equipped with carrier aggregation capability) to support both stable mobility support and high data throughput by small cell offloading. Option 1—TDM Between FDD and TDD This option is to fully utilize the uplink and downlink capability. To realize this option, two approaches may be considered. One is to implement this option as if carrier aggregation of half-duplex FDD and TDD carriers. PCell can be assumed as “Half-duplex” FDD and SCell can be assumed as “TDD”. Another approach is to time-share between FDD carrier and TDD carrier for downlink and uplink respectively. For both approaches, in terms of handling soft buffer, UE may assume that two carriers are aggregated. Alternatively, the network may configure the number of HARQ processes used per each carrier. Based on the number of HARQ processes, the UE may partition soft buffer size. For example, the maximum number of HARQ processes may be limited to 8 which may be partitioned between two carriers. Also, it is considerable that PCell (or master eNB) assigns the size of soft buffer for SCell (or secondary eNB) which may be used by the UE. When the first approach is used, this shall be informed to the eNB so that eNB can configure half-duplex FDD mode or perform based on half-duplex mode UE and TDD when carrier aggregation is used. If first approach is used, it can follow half-duplex FDD operation where the gap between DL and UL subframe switching can be utilized. To support first approach, the UE may have to signal “half-duplex” FDD capability in a frequency band so that the network can perform properly. Additionally, it can send CA capability between HD-FDD carrier and TDD carrier. Not to incur simultaneous reception or transmission in one subframe, the UE may also indicate simultaneous DL or UL is not feasible at one time. In general, a UE can send “CA capable for a band combination” which can be used for FDD-TDD CA by a dual mode capability addressed in this application. Then, the network may determine which mechanism the network should apply including Option 1. In this case, separate signalling of HD-FDD capability may not be needed. Otherwise, eNB may assume that second approach is used where downlink is shared between FDD downlink subframes and TDD downlink subframes and uplink is shared between FDD uplink subframes and TDD uplink subframes. In other words, this option is to allow “dynamic switch” of switches to change between FDD and TDD mode shown in FIG. 14. To handle “switching delay” and “frequency tuning latency” and potential differences of propagation delay, a gap (similar to Option 2) would be required whenever changes would be occurred. Using the second approach, it can be shown as if “half-duplex” FDD yet the UL/DL configuration is rather fixed. A UE, once SCell is configured, it may compute the UL/DL configuration for the PCell. Or, it may be configured by higher layer to use a pattern of UL/DL configuration. Or, it can be assumed as if two TDD carriers are aggregated where the UL/DL configuration of PCell or SCell may be different from the existing UL/DL configurations. In terms of handling soft buffer and HARQ process, a UE may assume that carrier aggregation is configured (i.e., the configured CC number is 2). In terms of PHICH and HARQ-ACK timing, with a new TDD UL/DL configuration, a new PHICH and HARQ-ACK timing can be defined using table as below (note that this is an example, different tables can be defined as long as it supports possible new UL/DL configuration—applicable to half-duplex mode FDD and/or TDD). Table 1 shows an existing TDD UL/DL configuration. TABLE 1 Downlink- to-Uplink Uplink- Switch- downlink point config- period- Subframe number uration icity 0 1 2 3 4 5 6 7 8 9 0 5 ms D S U U U D S U U U 1 5 ms D S U U D D S U U D 2 5 ms D S U D D D S U D D 3 10 ms D S U U U D D D D D 4 10 ms D S U U D D D D D D 5 10 ms D S U D D D D D D D 6 5 ms D S U U U D S U U D Table 2 defines a TDD UL/DL configuration according to the present application. TABLE 2 Downlink- to-Uplink Uplink- Switch- downlink point config- period- Subframe number uration icity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms U US D D S U US D D D 1_C 5 ms U US D S U U US D S U 2_C 5 ms U US S U U U US S U U 3_C 10 ms U US D D S U U U U U 4_C 10 ms U US D S U U U U U U 5_C 10 ms U US S U U U U U U U 6_C 5 ms U US D D S U US D S U Table 3 defines HARQ-ACK/NACK signaling timing based on the TDD UL/DL configuration defined in table 2. TABLE 3 Downlink- to-Uplink Uplink- Switch- downlink point config- period- k uration icity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms 4 7 8 4 7 8 1_C 5 ms 4 6 4 6 U 2_C 5 ms 4 4 3_C 10 ms 4 4 4 4_C 10 ms 4 4 5_C 10 ms 4 6_C 5 ms 4 6 5 4 7 Here, HARQ-ACK/NACK signal is transmitted at (n+k)-th subframe when PDSCH is transmitted at n-th subframe (n, k are integer and n>0, k>0). Table 4 defines an UL grant timing based on the TDD UL/DL configuration defined in table 2. TABLE 4 Downlink-to- Uplink- Uplink downlink Switch-point k configuration periodicity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms 4 7 8 4 7 8 1_C 5 ms 4/7/8 8/11/12 4/7/8 8/11/12 2_C 5 ms 4/5/6/7 4/5/6/7 3_C 10 ms 4/5/6 6/7 7/10 4_C 10 ms 4/5/6/7 7/8/11/12 5_C 10 ms 4/5/6/7/8/9/11/12/13 6_C 5 ms 4 6 6/7 4 7/8 Here, PUSCH is transmitted at (n+k)-th subframe when UL grant is received at n-th subframe (n, k are integer and n>0, k>0). Table 5 defines PHICH timing based on the TDD UL/DL configuration defined in table 2. TABLE 5 Downlink- to-Uplink Uplink- Switch- downlink point k configuration periodicity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms 6 3 2 6 3 2 1_C 5 ms 12/13/6 12/9/8 12/13/6 12/9/8 2_C 5 ms 6/5/4/13 6/5/4/13 3_C 10 ms 6/5/4 4/13 13/10 4_C 10 ms 5/5/4/13 3/2/9/8 5_C 10 ms 6/5/3/13/12/11/9/8/7 6_C 5 ms 6 4 4/13 6 13/12 Here, PHICH is transmitted at n-th subframe when PHICH is transmitted (n−k)-th subframe (n, k are integer and n>0, k>0). Meanwhile, for the gap for downlink and uplink, PCell downlink subframe a few last OFDM symbols or PCell uplink subframe a few first OFDM symbols may be used. Alternatively, SCell OFDM symbols may be used for the gap. Another alternative is to utilize either PCell or SCell depending on the case. For example, gap for DL frequency switching and others will be allocated at PCell downlink and gap for the UL frequency switching may be handled by SCell uplink. In terms of gap duration, alternatively, the whole subframe can be assumed as a gap instead of a few OFDM symbols to simplify the gap handling. FIG. 15 briefly illustrates gap to handle different propagation delay and frequency switching delay. Here, SUL represent special uplink subframe. For example, in FIG. 15, when additional gap is needed, it may be assumed that the whole subframe will not be used for neither downlink nor uplink transmission. If uplink grant is scheduled in prior to transmit PUSCH at the gap subframe, PUSCH may be ignored. If PUCCH transmission is planned at the subframe, a UE may transmit the PUCCH at the next available uplink subframe or ignore the PUCCH (the behavior may be configured to the UE by higher layer signaling). If the gap occurs in special subframe which consists of downlink pilot time slot (DwPST), guard period (GP) and uplink pilot time slot (UpPTS), only UpPTS or DwPTS may be assumed as additional “gap” on top of gap period specified in special subframe depending on the case. HARQ-ACK/NACK transmission may be transmitted separately for PCell and SCell respectively. Notably, uplink grant may be cross-carrier scheduled from SCell for PCell where downlink scheduling is self-scheduled. A UE may be configured to receive or decode uplink grant from SCell for PCell uplink transmission. Or, a UE may be configured to receive or decode uplink grant from both SCell and PCell for PCell uplink transmission and SCell uplink transmission. Note that techniques proposed for FDD/TDD PCell/SCell carrier aggregation can be applicable to TDD/FDD PCell/SCell CA whenever applicable. Similar to Option2a, frequency switching delay and propagation delay difference can be absorbed by adapting UL reception timing if possible. FIG. 16 briefly illustrates uplink timing change by PCell to absorb the gap. In the example shown in FIG. 16, MeNB uplink timing is adjusted to absorb the gap. The option according to FIG. 16 can be called as option1a. If the uplink timing of PCell cannot be adjusted, the special subframe (SUL where a few OFDM symbols would not be used for uplink transmissions and the rest OFDM symbols would be used for uplink transmissions) may be used between PCell/SCell uplink switch. FIG. 17 briefly illustrates UL special subframe, which is described just before. The option according to FIG. 17 can be called as option1b. The gap can be determined based on uplink timing advance configured or a higher layer signalling can be given. Yet another option (this can be called as option1c) is to absorb the necessary gap at the guard period in TDD special subframe by configuring uplink timing advance or by configuring (e.g. shifting) the subframe boundary properly. FIG. 18 briefly illustrates guard period utilization. By allowing UE to use timing advance (and thus tune the uplink reception timing at the small cell) which will be compensated by guard period defined in special subframe, the gap to switch frequency or absorb the propagation delay difference between PCell and SCell, can be handled. For the option1c, from eNB perspective, the transmission/reception subframe configuration is shown in FIG. 19. FIG. 19 briefly illustrates the case that uplink timing for TDD eNB and downlink timing for TDD eNB is adjusted to absorb the gap latency. However, assuming special uplink subframe is not defined, the timing for option, then the timing of HARQ-ACK/NACK, PHICH, UL grant etc, can be defined newly. Different from the cases of tables 2 to 5, special subframes are not used for these cases because special subframe is assumed as not defined. Table 6 shows new TDD UL/DL configuration under assumption that special subframe is not defined. TABLE 6 Downlink- to-Uplink Uplink- Switch- downlink point config- period- Subframe number uration icity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms U X D D D U X D D D 1_C 5 ms U X D D U U X D D U 2_C 5 ms U X D U U U X D U U 3_C 10 ms U X D D D U U U U U 4_C 10 ms U X D D U U U U U U 5_C 10 ms U X D U U U U U U U 6_C 5 ms U X D D D U X D D U Table 7 shows HARQ-ACK/NACK timing corresponding to TDD UL/DL configuration of table 6. TABLE 7 Downlink- to-Uplink Uplink- Switch- downlink point config- period- k uration icity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms 8 7 6 8 7 6 1_C 5 ms 7 6 7 6 2_C 5 ms 7 7 3_C 10 ms 4 4 4 4_C 10 ms 4 4 5_C 10 ms 4 6_C 5 ms 7 6 5 8 7 Here, HARQ-ACK/NACK signal is transmitted at (n+k)-th subframe when PDSCH is transmitted at n-th subframe (n, k are integer and n>0, k>0). Table 8 shows an UL grant timing corresponding to TDD UL/DL configuration of table 6. TABLE 8 Downlink- to-Uplink Uplink- Switch- downlink point k configuration periodicity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms 6 6 1_C 5 ms 7 7 7 7 2_C 5 ms 6, 7, 8 6, 7, 8 3_C 10 ms 4, 5 6, 7 8, 11 4_C 10 ms 4, 5, 6 6, 7, 11, 12 5_C 10 ms 4, 5, 6, 7, 8, 11, 12, 13 6_C 5 ms 6 6 7 Here, PUSCH is transmitted at (n+k)-th subframe when UL grant is received at n-th subframe (n, k are integer and n>0, k>0). Table 9 shows PHICH timing corresponding to the TDD UL/DL configuration of table 6. TABLE 9 Downlink- to-Uplink Uplink- Switch- downlink point k configuration periodicity 0 1 2 3 4 5 6 7 8 9 0_C 5 ms 4 4 1_C 5 ms 7 7 7 7 2_C 5 ms 7, 4, 8 7, 4, 8 3_C 10 ms 4, 5 6, 7 8, 9 4_C 10 ms 9, 8, 7, 12 4, 5, 6 5_C 10 ms 12, 9, 8, 7, 6, 5, 4 6_C 5 ms 7 4 4 Here, PHICH is transmitted at n-th subframe when PHICH is transmitted (n−k)-th subframe (n, k are integer and n>0, k>0). Option2—TDM between FDD DL (PCell) and TDD DL (SCell) with Dedicated Uplink to TDD UL (SCell) Using this option2, once SCell (CA-ed SCell or U-Plane CC or dual connection) is configured to the UE, it may use its transmission capability to SCell uplink where all the uplink transmission to PCell and SCell will be delivered via SCell uplink (uplink signals/channels containing such as UCI, HARQ-ACK/NACK, PUSCH and PRACH). In particular, when PCell eNB and SCell eNB are communicated via ideal backhaul (such as RRH used as SCell), transition to SCell uplink may be automatically enabled once SCell is activated. Automatic enabling may be applied to inter-site carrier aggregation. Or, with inter-site CA, uplink change may be occurred only based on explicit higher layer signaling. When Option2 is used, the main benefit of option2 is to allow downlink data reception from both macro cell and small cell operating FDD and TDD (though not at the same time) by TDM. To support this option2 and potentially option1, a couple of issues (1) to (8) related to downlink data and control channels shall be addressed. (1) PHICH and UL Grant ACK/NACK for uplink transmission (PHICH) will be transmitted separately for PCell and SCell respectively. For PCell PHICH and UL grant timing, a few mechanisms can be considered. First, a UE may assume that a reference TDD DL/UL configuration is given where PHICH and UL grant timing is given by the reference configuration. In this case, PHICH timing could be “n+k+10+lx2” when uplink transmission occurs at n-th subframe where k is according to the configured reference UL/DL TDD configuration or timing and lx2 would be the backhaul latency in 10 msec between SCell eNB and PCell eNB (0 for less than 10 msec) as MATH 1. lx2=└backhauldelay/10┘*10 msec If lx2 is non-zero value, it would be informed to the UE so that the UE calculates the timing accurately. In this case, uplink grant timing would be n−k when uplink transmission at n-th subframe occurs. Alternatively, since PCell downlink shares the same subframe where uplink transmission at SCell occurs, simply PHICH and UL grant timing can be given as “10+lx2” such that PHICH for uplink transmission at n-th subframe will be transmitted at (n+10)-th subframe and UL grant transmitted at n-th subframe will be performed at (n+10)-th subframe. In other words, uplink grant will be transmitted at (n−10)-th subframe if uplink transmission occurs in n-th subframe. Another alternative approach is to disable UL grant transmitted from PCell such that PHICH and UL grant timing from PCell may not be necessary to be specified. (2) HARQ-ACK/NACK For ACK/NACK signal transmitted by UE for downlink data from PCell, if PCell can transmit only CSS (common search space) data using SI-RNTI, P-RNTI, RARNTI which does not require ACK/NACK, additional specification may not be necessary. If PCell can transmit unicast data using user RNTI which requires ACK/NACK feedback, the timing of HARQ-ACK feedback may be configured similarly to PHICH where a reference configuration is given to the UE for HARQ-ACK transmission. If this is used, since there is no TDD UL/DL configuration which can cover the PCell DL subframes, either a new HARQ timing is defined or a subframe offset may be additionally configured. In this case, PHICH timing could be “n+k+10” when uplink transmission occurs at nth subframe where k is according to the configured reference UL/DL TDD configuration or timing. Or, simply, downlink transmission at n-th subframe can be feedbacked at (n+10)-th UL subframe similar to PHICH. In terms of HARQ-ACK/NACK transmission, same rule used for carrier aggregation for two TDD CCs can be used assuming a UE is configured with both PCell and SCell. Or alternatively, a UE may assume that PUCCH format 3 is used all the time such that all HARQ-ACK bits will be aggregated to PUCCH format 3 and transmitted assuming a UE is configured with only one CC. (3) Maximum number of HARQ process number Basically, the main motivation of utilizing FDD capability to support “TDM-fashion” dual connectivity is not to increase UE complexity while supporting dual connectivity. Thus, it would be desirable not to increase the maximum number of HARQ process and the requirement of soft buffer. Since, TDD may have larger maximum number of HARQ process to be supported, one way to determine the maximum number of HARQ process is to define as MATH 2. HARQ_Num=max {supported maximum number of HARQ process at PCell, supported maximum number of HARQ process at SCell} In this case, a few first or last HARQ processes would be reserved for PCell use (similar to SPS transmission). For example, HARQ process ID=0 and 1 may be reserved for PCell HARQ process where HARQ process ID=2 to HARQ_Num−1 would be used for SCell PDSCH transmissions. (4) Soft Buffer Partitioning In terms of computing soft buffer, MATH 3 is applicable. n SB = min  ( N cb , ⌊ N soft ′ C · N cells DL · K MIMO · min  ( M DL   _   HARQ , M limit ) ⌋ ) < MATH   3 > To allow TDM-fashion dual connectivity, we can assume NDLcells=1 and MDL_HARQ=HARQ_Num defined in (3). If carrier aggregation approach is used, alternatively, it can be assumed that HARQ_num can be defined for each CC (PCell and SCell respectively) and NDLcells=2 and MDL_HARQ can be assumed as the maximum number of HARQ process per CC (PCell and SCell respectively). (5) Semi Persistent Scheduling (SPS) SPS can be configured for PCell where SPS uplink transmission timing will follow PUSCH timing for UL grant (for activation message) and HARQ-ACK for SPS PDSCH and SPS release will follow HARQ-ACK timing. (6) Handling Different Propagation Delay and Switching Delay FIG. 20 briefly illustrates an example of gap to handle different propagation delay and frequency switching delay. As shown in FIG. 20, switching of downlink from f1 (PCell DL) to f3 (SCell DL) or vice versa may require some gap to handle different propagation delay if macro cell and small cell have different propagation delay. To avoid potential propagation delay differences, SCell or PCell may adapt its transmission timing so that downlink subframe boundary of PCell and SCell at the UE are aligned. And thus, even with DL switch from PCell to SCell (or vice versa), it does not require any gap to compensate the difference. FIG. 21 briefly illustrates this alternative example frequency switching. The case of FIG. 21 can be called as option2a. The transmission timing adjustment from SCell may include both difference of propagation delay and frequency switching delay so that frequency delay can be absorbed by special subframe UpPTS and/or GW. In this case, from a UE perspective, the assigned downlink subframes for PCell and SCell DL subframes (including DwPTS) may be utilized 100% without any gap. The following is described assuming the compensation by SCell (or PCell) is not considered. As shown in FIG. 20, assuming macro cell has larger propagation delay, to switch to SCell with shorter propagation delay, the gap would be required where the gap can be determined based on timing advance values of two cells. The gap can be absorbed by PCell downlink or SCell downlink (the example shows the case where PCell takes the gap). The gap also includes the necessary frequency switching delay. Whenever necessary, the gap can be assumed. When special subframe is used before switching, UpPTS may be used for the gap so that additional gap may not be necessary. Note that, the gap illustrated in FIG. 20 is an example. The gap can be configured (by higher layer) or pre-determined to occur either in SCell or both PCell and SCell (divided between two e.g., propagation delay is absorbed by PCell and switching delay is handled by SCell). (7) PRACH Transmission for PCell When uplink synchronization is needed by PCell, PRACH transmission via PCell uplink frequency may be needed. In this case, SCell may trigger PDCCH order via SCell DL with uplink CC CIF to PCell such that a UE can transmit PRACH via PCell UL frequency or PCell may trigger PDCCH order where PRACH will be transmitted at the first available uplink subframe after 10 subframes. The same protocol may be applied to aperiodic sounding reference signal (SRS) transmission as well. For periodic SRS transmission, a UE may assume that SRS configuration for SCell is valid whereas SRS configuration for PCell is assumed to be invalid for periodic SRS transmission. (8) CSI Feedback A couple of mechanisms are feasible to handle channel state information (CSI) feedback for PCell and SCell. One approach is to have more than one CSI processes allocated for UE to support CSI feedback for PCell and SCell separately. Using this approach, PCell and SCell may configure different CSI-RS configurations. Another approach is to use “restricted measurement” CSI0 and CSI1 configured for PCell downlink and SCell downlink respectively (or vice versa) where only one CSI-RS configuration is given to the UE. Either first or the second approach, UE shall assume a subframe where downlink for PCell is not available or downlink for SCell is not available as uplink subframe so that it does not expect to receive configured CSI-RS in that subframe. For example, in a figure of FIGS. 9 to 13, etc., UE shall assume that CSI-RS will not be present in the subframes other than subframe 2, 3 and 7, 8. Another option is to disable periodic CSI feedback for PCell. It may configure additional CSI-RS resource for PCell which will be used only for aperiodic CSI feedback upon triggering. Option 3—TDM Between FDD DL (PCell) and TDD DL (SCell) and TDM Between FDD UL (PCell) and TDD UL (SCell) This option is to further allow uplink transmission of PCell on top of option2. Similar to option2, downlink between PCell and SCell would be time-shared. For uplink, it can be time-shared among available uplink subframes of SCell UL/DL configurations. For the uplink time-share between PCell and SCell, techniques proposed for option1 can be applicable here as well. Option4—Semi-Static TDM Between FDD (PCell) and TDD (SCell) This option is to allow a UE to switch FDD and TDD semi-statically to support both PCell and SCell. Assuming PCell may not transmit downlink data or schedule uplink transmissions frequently, transition to PCell may be limited only to the essential functionalities such as SIB update and measurement. Since SIB update is indicated by paging, UE shall switch to FDD according to paging cycle configured to itself. Besides, a UE should be configured with “PCell measurement period and duration” where a UE shall perform RRM on FDD PCell. When SIB is updated, UE shall read SIB from PCell by staying at PCell for “T” duration where “T” would be the time to read the updated SIB (T is determined based on the target SIBs updated, e.g., SIB1 update may require reading SIB1 within 40 msec, thus T would be 40 msec). A UE shall assume that any downlink or uplink scheduling to/from SCell would not be occurred when a UE switches to PCell duplex mode. The gap to switch between PCell and SCell, one or a few subframe(s) may be assumed before and after (in other words, every switch, two subframes would not be used for neither downlink or uplink scheduling/transmission). If PCell and SCell are inter-site carrier aggregated to the UE, the backhaul delay between PCell and SCell may be considered before a UE switches between FDD and TDD. For example, if PCell SIB is updated and thus a UE shall switch to PCell for reading SIB, either PCell eNB informs the SCell eNB for appropriate configuration or the UE shall indicate the SCell eNB for the change before making its change. Thus, the delay of switching may be longer to account for the delay to communicate either between PCell and SCell or between UE and SCell. All these options, if uplink PUSCH/PUCCH (new or modified PUSCH or PUCCH) can be transmitted in special uplink (shortened uplink subframe) subframe, special uplink subframe can be used for uplink transmission for the dual-mode UE. Otherwise, special uplink subframe (SUL) may not be used for any uplink transmission. If configured properly, SRS may be allowed to be transmitted in SUL (in a first one or two OFDM symbols). If special uplink subframe is not used, and the gap is handled by guard period of TDD special subframes, eNB handles “TDM-fashion” transmission/reception by scheduling. Yet, UE should be aware of the TDM pattern so that it can change the frequency accordingly. The chosen downlink and uplink subframes of PCell and/or SCell should be configured to the UE. If there is no configuration given, UE shall assume that a default pattern (for example, if TDD UL/DL configuration is DSUUDDSUUD for 10 subframes (here, D is a downlink subframe, U is a uplink subframe and S is a special subframe), then FDD subframe will be used as UXDDUUXDDU where X is a subframe not used for any PCell TX/RX) according to the configured SCell pattern is used. Next scenario is to look at TDD PCell and FDD SCell where TDD PCell may utilize the resource where FDD SCell is configured as “ABS” subframes as shown in FIG. 22 and FIG. 23. FIG. 22 briefly illustrates an example of dual connectivity between TDD macro cell (f1) and FDD small cell (f2/f3). FIG. 23 briefly describes TDD and FDD configuration corresponding to the case of FIG. 22. Here, in UE perspective, the UE may be regard as configured for TDM between TDD and FDD such as coption2. The available UL and/or DL subframes can be union of ABS subframes configured for SCell and TDD UL/DL configuration configured for PCell as shown in the example of FIG. 23. For HARQ-ACK timing assuming HARQ-ACK is transmitted separately for PCell and SCell, if uplink subframe is not available following TDD UL/DL configuration, it shall take the next available uplink subframe. for example, downlink transmitted at 1st subframe does not have available uplink (7th uplink subframe). Thus, HARQ-ACK may be transmitted 8th uplink subframe instead. The similar thing can be applicable to PHICH and UL grant where the next available downlink will carry PHICH and/or UL grant for the uplink transmission. If HARQ-ACK and/or PHICH are transmitted by SCell in an aggregated fashion, it may follow FDD timing where uplink subframe for SCell may be configured as ABS subframe (where UE may transmit uplink regardless). In terms of soft buffer processing, similar options presented for FDD/TDD CA options in above can be applied. Another option to consider is allow continuous downlink or uplink in SCell FDD and uplink or downlink capability may be changed between TDD and FDD as shown FIG. 24. FIG. 24 briefly illustrates an example of continuous DL (upper) and UL (below) FDD operation. Referring to FIG. 24, for option A, FDD DL of SCell is continuous. In addition, for option B, FDD UL of SCell is continuous. For the all options listed in this application, in may be considered that a few message exchanges among PCell and SCell eNBs. First, a subset of DL subframes and/or a subset of UL subframes that PCell (or SCell) like to utilize can be exchanged for the subframe partitioning coordination. The subset can be divided within a radio frame or within ABS configuration duration (e.g., 40 msec for FDD) or a predetermined period (e.g., 100 msec). Second, the timing advance value that each cell configures to the UE can be exchanged so that timing adjustment (e.g., TA for SCell or PCell, downlink subframe boundary adjustment, etc) can be performed to absorb the propagation delay difference and frequency switching delay. Third, RRM measurement on the SCell (or PCell) and RLM measurement on the SCell (or PCell) can be exchanged so that PCell determines when to deactivate the SCell. FIG. 25 is a flow chart briefly describing an operation of UE based on described as before. Referring to FIG. 25, the UE receives downlink signal at step of S2510. The UE may receive signal from the eNB which is PCell or SCell, or are PCell and SCell. The UE transmits uplink signal at step of S2520. The UE may transmit signal to the eNB which is PCell or SCell, or are PCell and SCell. Here, the UE may operate under dual connectivity circumstances with dual mode. Specifically, the UE may be configured for TDM between TDD and FDD. In addition the UE may performs single transmission of signal on uplink and single reception of signal on downlink at one subframe. The specific TDM configurations are same as closely described above. FIG. 26 is a block diagram which briefly describes a wireless communication system including an UE 2600 and a BS 2640. The UE 2600 and the BS 2640 may operate based on the description as explained above. In view of downlink, a transmitter may be a part of the BS 2640 and a receiver may be a part of the UE 2600. In view of uplink, a transmitter may be a part of the UE 2600 and a receiver may be a part of the BS 2640. Referring to FIG. 26, the UE 2600 may include a processor 2610, a memory 2620 and a radio frequency (RF) unit 2630. The UE 2600 may operate under dual connectivity circumstances with dual mode, i.e. the UE may configured for TDM between FDD and TDD. The processor 2610 may be configured to implement proposed procedures and/or methods described in this application. For example, the processor 2610 may operatively coupled to the RF unit 2630, wherein the processor 2610 is configured for transmitting signals via the RF unit 2620 based on a scheduling for UL and/or DL. The processor 2610 may perform single transmission of signal on uplink and single reception of signal on downlink at one subframe via the RF unit 2630. The memory 2620 is coupled with the processor 2610 and stores a variety of information to operate the processor 2610, which includes data information and/or control information. The RF unit 2630 is also coupled with the processor 2610. The RF unit 2630 may receive signal from at least one cell and transmits signal to at least one cell with carrier aggregation. The detailed operations of the UE 2600 are same as described above. The BS 2640 may include a processor 2650, a memory 2660 and a RF unit 2670. Here, the BS may be PCell or SCell and the BS may be a macro cell or small cell. The processor 2650 may be configured to implement proposed procedures and/or methods described in this application. For example, the processor 950 may schedule UL and/or DL. Specifically, the processor 950 may schedule UL/DL transmission with TDM between TDD and FDD. Here, FDD may be configured for macro cell and TDD may be configured for small cell. Alternatively, FDD may be configured for small cell and TDD may be configured for macro cell. In addition, the processor may schedule UL/DL for a UE which can only perform single transmission of signal on uplink and single reception of signal on downlink at one subframe. The memory 2660 is coupled with the processor 2650 and stores a variety of information to operate the processor 2650, which includes data information and/or control information. The RF unit 2670 is also coupled with the processor 2650. The RF unit 2670 may transmit and/or receive a radio signal. The detailed operations of the BS 2640 are same as described above. The UE 2600 and/or the BS 2640 may have single antenna or multiple antennas. The wireless communication system may be called as multiple input/multiple output (MIMO) system when at least one of the UE 2600 and the BS 2640 has multiple antennas. It should be noted that the methods in this application can be applied under dual connectivity circumstance as well as applied with carrier aggregation as described above. In the above exemplary systems, although the methods have been described on the basis of the flowcharts using a series of the steps or blocks, the present invention is not limited to the sequence of the steps, and some of the steps may be performed at different sequences from the remaining steps or may be performed simultaneously with the remaining steps. Furthermore, the above-described embodiments include various aspects of examples. Accordingly, the present invention should be construed to include all other alternations, modifications, and changes which fall within the scope of the claims. In the description regarding the present invention, when it is said that one element is “connected” or “coupled” to the other element, the one element may be directly connected or coupled to the other element, but it should be understood that a third element may exist between the two elements. In contrast, when it is said that one element is “directly connected” or “directly coupled” to the other element, it should be understood that a third element does not exist between the two elements.",H04J31694,H04J316,20160105,20171114,20160609,63408.0 39,14903762,ACCEPTED,"MICROSTRUCTURED OPTICAL FIBER, SUPERCONTINUUM LIGHT SOURCE COMPRISING MICROSTRUCTURED OPTICAL FIBER AND USE OF SUCH LIGHT SOURCE",The invention relates to a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light. The microstructured optical fiber has a first section and a second section. A cross-section through the second section perpendicularly to a longitudinal axis of the fiber has a second relative size of microstructure elements and preferably a second pitch that is smaller than a blue edge pitch for the second relative size of microstructure elements. The invention also relates to an incoherent supercontinuum source comprising a microstructured optical fiber according to the invention.,"1-59. (canceled) 60. A microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light, said microstructured optical fiber having a length and a longitudinal axis along its length and comprising, along its length, a core region that is capable of guiding light along the length of said microstructured optical fiber, and a first cladding region surrounding said core region, said first cladding region comprising a microstructure having a plurality of microstructure elements, wherein said microstructured optical fiber, along its length, comprises: a first section with a first length L1, wherein the microstructure elements of said optical fiber at least at a first cross-section through the first section perpendicularly to the longitudinal axis has a first pitch Λ1, a first microstructure diameter d1 and a first relative size d1/Λ1 of microstructure elements, wherein the microstructured optical fiber at least in said first cross-section has a first zero dispersion wavelength ZDW11 in the range from about 920 to about 1120 nm a second section with a second length L2, wherein the microstructure elements of said optical fiber at least at a second cross-section through the second section perpendicularly to the longitudinal axis has a second pitch Λ2, a second microstructure diameter d2 and a second relative size d2/Λ2 of microstructure elements, wherein said microstructured optical fiber at least in said second cross-section through the microstructured optical fiber has a first zero dispersion wavelength ZDW21 and a second zero dispersion wavelength ZDW22, said second zero dispersion wavelength being about 2200 nm or shorter, wherein the sum of the first length L1 and the second length L2 is about 1 meter or larger. 61. A microstructured optical fiber according to claim 60, wherein said second pitch Λ2 is smaller than a blue edge pitch Λblue, where said blue edge pitch Λblue is defined as a specific pitch giving the shortest possible blue edge wavelength of the supercontinuum light for said second relative size d2/Λ2 of microstructure elements. 62. A microstructured optical fiber according to claim 60, wherein the relative size d1/Λ1 of microstructure elements in the first cross-section is about 0.75 or less. 63. A microstructured optical fiber according to claim 60, wherein said microstructured optical fiber in said second cross-section has a group velocity matched wavelength GVMW2 in the range from about 650 nm to about 800 nm. 64. A microstructured optical fiber according to claim 60, wherein the second relative size d2/Λ2 of the microstructure elements is about 0.75 or less. 65. A microstructured optical fiber according to claim 60, wherein the second pitch Λ2 is about 0.9 times the blue edge pitch Λblue or smaller. 66. A microstructured optical fiber according to claim 60, wherein said first zero dispersion wavelength ZDW21 of said second cross-section is less than about 1000 nm, such as less than about 900 nm. 67. A microstructured optical fiber according to claim 66, wherein the second pitch Λ2 is smaller than the first pitch Λ1. 68. A microstructured optical fiber according to claim 66, where said second pitch Λ2 is in the range from about 1.1 μm to about 1.7 μm, such as in the range from about 1.3 μm to about 1.5 μm. 69. A microstructured optical fiber according to claim 60, wherein the microstructured optical fiber further comprises a second tapered section Li2 and a third section with third length L3, wherein the microstructure elements of said optical fiber at least at a third cross-section through the third section perpendicularly to the longitudinal axis has a third pitch Λ3, a third microstructure diameter d3 and a third relative size d3/Λ3 of microstructure elements; wherein the third pitch Λ3 is larger than the second pitch Λ2. 70. A microstructured optical fiber according to claim 60, wherein a cross-section through the first tapered section perpendicularly to the longitudinal axis of the fiber comprises microstructures at a first taper pitch Λt1, a first taper microstructure diameter dt1 and a first taper relative size dt1/Λt1 of microstructure elements, wherein the first taper section of the microstructured optical fiber has a taper group velocity matched wavelength GVMWt1 corresponding to a second zero dispersion wavelength ZDWt1 in said cross-section, where said taper group velocity matched wavelength GVMWt1 is in the range from about 400 nm to about 500 nm for any cross-sections of the first tapered section. 71. A microstructured optical fiber according to claim 60, wherein the first section is coupled to the second section by a splicing. 72. An incoherent supercontinuum source comprising: i. a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light, said microstructured optical fiber having a length and a longitudinal axis along its length and comprising, along its length, a core region that is capable of guiding light along the length of the microstructured optical fiber, and a first cladding region surrounding said core region, said first cladding region comprising a microstructure having a plurality of microstructure elements, ii. a pump source with a center wavelength between about 1000 nm and about 1100 nm and a pulse length of longer than about 500 fs, wherein said microstructured optical fiber, along its length, comprises: a first section with a first length L1, wherein the microstructure elements of said optical fiber at least at a first cross-section through the first section perpendicularly to the longitudinal axis has a first pitch Λ1, a first microstructure diameter d1 and a first relative size d1/Λ1 of microstructure elements, wherein said microstructured optical fiber at least in said first cross-section has a first zero dispersion wavelength ZDW11 in the range from about 920 to about 1120 nm; a second section with a second length L2, wherein the microstructure elements of said optical fiber at least at a second cross-section through the second section perpendicularly to the longitudinal axis has a second pitch Λ2, a second microstructure diameter d2 and a second relative size d2/Λ2 of microstructure elements, wherein said microstructured optical fiber at least in said second cross-section through the microstructured optical fiber has a first zero dispersion wavelength ZDW21 and a second zero dispersion wavelength ZDW22, said second zero dispersion wavelength being about 2200 nm or shorter, wherein the sum of the first length L1 and the second length L2 is about 1 meter or larger. 73. An incoherent supercontinuum source according to claim 72, wherein the second pitch Λ2 is smaller than a blue edge pitch Λblue, wherein said blue edge pitch Λblue is defined as a specific pitch giving the shortest possible blue edge wavelength of the supercontinuum light for said second relative size d2/Λ2 of microstructure elements. 74. An incoherent supercontinuum source according to claim 72, said microstructured optical fiber further comprising a first tapered section with length Lt1, wherein the tapered section connects the first section and the second section. 75. An incoherent supercontinuum source according to claim 72, wherein the first section is coupled to the second section by a splicing. 76. The incoherent supercontinuum source according to claim 72, wherein the pump source is a seed laser arranged to provide seed pulses with a seed pulse frequency Fseed, the supercontinuum source further comprising a pulse frequency multiplier (PFM) arranged to multiply the seed pulses and convert the seed pulse frequency Fseed to pump pulses with a pump pulse frequency Fpump, where said pump pulse frequency Fpump is larger than said seed pulse frequency Fseed. 77. The incoherent supercontinuum light source according to claim 72, said pump light source comprising a mode-locked laser and at least one amplifier, said supercontinuum light source having an output being spliced onto said input end of said microstructured optical fiber. 78. An optical coherence tomography (OCT) acquisition system comprising a supercontinuum light source according to claim 72, and a detector for collecting reflected light and an image processor for analyzing the detected reflected light. 79. An optical coherence tomography (OCT) acquisition system of claim 78, wherein the acquisition system is configured to determine a wavefront aberration in a coherent signal to thereby provide a wavefront sensor."," BACKGROUND OF THE INVENTION In optical fibers, a supercontinuum light is formed when a collection of nonlinear processes act together upon feeding of a pump beam in order to cause spectral broadening of the original pump beam. The result may be a smooth spectral continuum spreading such as over more than an octave of wavelengths. Suitable non-linear processes are for example self-phase and cross-phase modulation, four-wave mixing, Raman gain or soliton based dynamics, interacting together to generate the supercontinuum light. In order to get the broadest continua in an optical fiber, it is most efficient to pump in the anomalous dispersion regime; however a spectral continuum may in some optical fibers be obtainable by pumping in the normal dispersion regime. Microstructured optical fibers may be designed for supercontinuum generation due to their high non-linearity and their customizable zero dispersion wavelength. Microstructured optical fibers may be formed from a background material, e.g. silica, and comprise a solid core region surrounded by a cladding region, which comprises an array of cladding features, e.g. air filled holes, in a background matrix. The air/background material of the cladding creates an effective refractive index which may be less than the refractive index of the core region and thus permits the guidance of light within the core by a variation of the traditional mechanism of total internal reflection. The terms “microstructured fibers” and “microstructured optical fibers” are in this context meant to cover optical fibers comprising microstructures such as photonic crystal fibers, photonic bandgap fibers, leaky channel fibers, holey fibers, etc. Unless otherwise noted the refractive index refers to the average refractive index which is usually calculated for the core and each layer surrounding it separately, whether the fiber is a standard fiber, where the core and any cladding layers surrounding that core have a substantially homogeneous refractive index, or a microstructured fiber where the core and/or one or more cladding layers comprise microstructures. A cladding layer is defined a layer with a thickness and surrounding the core where the refractive index is substantially homogeneous or where the where the layer has a base material with substantially homogeneous refractive index and a plurality of microstructures arranged in a uniform pattern. The zero dispersion wavelength (ZDW) is an important parameter in the generation of supercontinuum spectra where the widest spectra are produced when the pump wavelength is relatively close to the ZDW. In microstructured fibers, it is well known to shift the ZDW to thereby enable laser sources having different wavelengths to be utilised as pump sources in the generation of supercontinuum spectra. The microstructured optical fibers will have a U-shaped variation in group index curve as a function of wavelength. The group index at a larger wavelength is matched to the group index at a shorter wavelength. A frequency-shifting soliton propagating in the anomalous-dispersion regime effectively traps blue radiation propagating with the same group index on the other arm of the “U” in a potential well and scatters the blue radiation to shorter wavelengths. Supercontinuum generation is a complex process, and any quantitative explanation of the underlying physics must take into account a number of different fiber and pulse parameters. Nonetheless it is generally accepted that the most efficient method to obtain a very broad supercontinuum is by using a pump wavelength slightly in the anomalous group-velocity dispersion (GVD) regime of a highly nonlinear Photonic Crystal Fiber (PCF) with only one zero-dispersion wavelength (ZDW) below the absorption limit of the material. In contrast, pumping in the normal GVD regime of a PCF will in general reduce the bandwidth and require a longer length of the PCF (J. Dudley et al, “Supercontinuum generation in photonic crystal fiber”, Reviews of Modern Physics, Vol. 78, p. 1135, October-December 2006). Typically prior art high power supercontinuum sources use a pump wavelength of around 1064 nm and a PCF with a core size of about 3.5 to 5 μm having a ZDW slightly below the pump wavelength. Typical examples of such fibers are the commercial products from SC-5.0-1040 (core size 5.0 μm, ZDW=1040 nm) and SC-3.7-975 (core size 3.7 μm, ZDW=1040 nm) from NKT Photonics. A standard calculation of the dispersion of a PCF with a given core size, shows that the ZDW decreases when the relative hole size increases (defined as hole size divided by pitch). As the core size of the PCF increases, so does the relative hole size that is required to obtain a ZDW of about 1064 nm. For very large relative hole sizes, it is possible to obtain a ZDW at 1064 nm for core sizes up to about 6 μm. Hence in order to have anomalous dispersion at a wavelength of 1064 nm in a PCF, the core size is limited to about 6 μm or less. In supercontinuum sources it is advantageous to reduce the noise. It is an object of the invention to provide an optical fiber arranged to generate incoherent supercontinuum light with reduced noise. The article “Zero-dispersion wavelength decreasing photonic crystal fibers for ultraviolet-extended supercontinuum generation” by Kudlinski et al., Optics Express Vol. 14, No. 12, 12 Jun. 2006, describes tapering of fibers in order to extend the generation of supercontinuum spectra from the visible into the ultraviolet. This article describes the manufacturing of tapered microstructured fibers with a regular array of microscopic air holes surrounding a solid silica core. By adjusting the drawing parameters, tapered fibers with a length in the order of 10 m are manufactured with a continuously-decreasing ZDW along their length. The article describes that this decreasing ZDW extends the generation of supercontinuum spectra from the visible into the ultraviolet. The article relates to fibers with large holes, and indicates that the shortest edge of the supercontinuum spectrum is achieved for fibers having a core size at about 2 μm. The article also states that further tapering to smaller core sizes does not provide light at shorter wavelengths, but merely decreases the power. The article “Control of pulse-to-pulse fluctuations in visible supercontinuum”, by A. Kudlinski et al. in “Optics Express, 20 Dec. 2010, Vol. 18, No. 26, examines fluctuations in supercontinuum systems. It is described that millimeter-long post-processed tapers result in a low spectral power density in the visible spectrum, which is detrimental to many applications. Thus, short tapers are unsuitable for incoherent SC sources. The article thus describes tower tapered fibers. The power spectra and pulse-to-pulse fluctuation spectra of the supercontinuum spectrum are compared for a system with a 15 m uniform PCF and system with a fiber having an 8 m uniform PCF followed by a 7 meter long tapered section. It is seen that the tapered system extends the light into ultra-violet, as described earlier in the previously mentioned paper from Kudlinski, 2006. For the 15 m uniform fiber, it was found that the pulse-to-pulse fluctuations have a nearly stable level from 700 nm to 1400 nm, but that the fluctuations are increased for wavelengths below 700 nm. For the system using the tapered fiber, the pulse-to-pulse fluctuations from 700 nm to 1400 nm are similar to the system with the uniform fiber, whilst the flat level is maintained all the way down to around 400 nm for the system using the tapered fiber. The review article “Blue extension of optical fibre supercontinuum generation” by J. C. Travers in Journal of Optics, J. Opt. 12(2010), 113001, describes how to design fibers for obtaining the shortest possible blue edge by choosing the dispersion of a fiber, its nonlinear properties and the effective area of the fiber. It is shown that the low wavelength edge for the supercontinuum is obtained where there is a group velocity match to the wavelength at the infrared loss edge. Furthermore the low wavelength edge is mapped as a function of pitch and relative hole size in the PCF. It is found that the shortest low wavelength edge is obtained for very large relative hole sizes (d/Λ>0.85) and at pitches around 2.0 μm. Furthermore, the pitch giving the lowest wavelength edge increases as the relative hole size decreases, to e.g. around A=2.3 μm at d/Λ=0.60. These conclusions are confirmed in the review paper “Optimum PCF tapers for blue-enhanced supercontinuum sources” by U. Møller et al, Optical Fiber Technology 18, 2012, pages 304-314, wherein it is described that tapering of photonic crystal fibers has proven to be an effective way of blue shifting the dispersive wavelength edge of a supercontinuum spectrum down in the deep-blue. This paper also describes how high-energy solitons reaching the infrared loss edge through trapped and group-velocity matched dispersive waves is an effective way of blue shifting the blue edge of a supercontinuum spectrum. The paper “Low noise wavelength conversion of femtosecond pulses with dispersion micro-managed holey fibers” by Fei Lu and Wayne H. Knox, Opt. Express, Vol. 13, No. 20, page 8172 (2005), describes how to minimize the noise for coherent supercontinuum sources, pumped with 100 femto-second pulses at 920 nm. The supercontinuum and noise spectra are compared for an 80 cm uniform fiber, a fiber having a 2.6 cm taper and a dispersion micro-managed fiber comprising a taper with length of <1 cm. It is shown that the noise is largest for the uniform fiber, and lowest for the dispersion micro-managed fiber. It is explained that this is due to the short length of the dispersion micro-managed fiber matching the length scale for the soliton fission process while being too short to allow for additional “messy fission collisions” (sic) to take place. It is worth noticing that for coherent sources the supercontinuum spectrum is seeded by the pulse itself by soliton fission processes, whereas for incoherent supercontinuum sources the supercontinuum is seeded from noise, and thus it is not possible to make a dispersion micro managed fiber as taught by Lu and Knox for incoherent supercontinuum sources."," SUMMARY OF THE INVENTION It is an object of the present invention to provide an optical fiber for generating incoherent supercontinuum light with improved quality and/or reduced noise. In an embodiment it is an object to provide an optical fiber for generating supercontinuum light with an increased output power compared with present optical fibers, at least in some spectral ranges within the supercontinuum. In an embodiment it is an object to provide a supercontinuum light source with a decreased noise output compared to present supercontinuum light sources. The supercontinuum light source of the invention is advantageously suitable for use in an optical measurement system. In an embodiment of the present invention a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light is provided. The optical fiber has a length and a longitudinal axis along its length, and comprises a core region that is capable of guiding light along the length of the optical fiber. The optical fiber also has a first cladding region surrounding the core region. The first cladding region comprises a microstructure having a plurality of microstructure elements. Along its length, the microstructured optical fiber comprises a first section with a first length L 1 . At least at a first cross-section through the first section perpendicularly to the longitudinal axis the microstructure elements of the optical fiber has a first pitch Λ 1 , a first microstructure diameter d 1 and a first relative size d 1 /Λ 1 of microstructure elements. At least in the first cross-section the microstructured optical fiber has a first zero dispersion wavelength ZDW 1 1 in the range from about 920 to about 1120 nm. Along its length, the optical fiber moreover comprises a second section having a second length L 2 . At least at a second cross-section through the second section perpendicularly to the longitudinal axis the microstructure elements have a second pitch Λ 2 , a second microstructure diameter d 2 and a second relative size d 2 /Λ 2 of microstructure elements. The microstructured optical fiber has at least in the second cross-section through the microstructured optical fiber a first zero dispersion wavelength ZDW 2 1 and a second zero dispersion wavelength ZDW 2 2 , where the second zero dispersion wavelength is about 2200 nm or shorter. The sum of the first length L 1 and the second length L 2 is about 1 meter or larger, such as about 3 meter or larger, such as about 5 meter or larger, such as about 10 meter or larger. The relative size d 1 /Λ 1 of microstructure elements in the first section is about 0.75 or less, such as about 0.65 or less, such as about 0.55 or less. It has been found that the microstructured optical fiber with the first zero dispersion wavelength ZDW 1 1 in the range from about 920 to about 1120 nm and the zero dispersion wavelength about 2200 nm or shorter can be provide an incoherent supercontinuum spectrum with reduced noise over a large range of wavelengths such as wavelength at least about 100 nm higher than the possible blue edge wavelength, for example wavelength in the range from about 600 nm to about 1000 nm, such as about 650 nm to about 950 nm, such as about 680 nm to about 920 nm. Advantageously, the second pitch Λ 2 is smaller than a blue edge pitch Λ blue . The blue edge pitch Λ blue is defined as a specific pitch giving the shortest possible blue edge wavelength of the supercontinuum light for the second relative size d 2 /Λ 2 of microstructure elements. The microstructured optical fiber according to an embodiment of the invention having a second section with a second pitch smaller than the blue edge pitch provides, when pumped with a pump source with a center wavelength between about 1000 nm and about 1100 nm, an incoherent supercontinuum spectrum with a very low noise and it has been found that the incoherent supercontinuum spectrum may have reduced noise over a large range of wavelengths, e.g. compared to a uniform fiber having the dimensions of the first section. When the second pitch is smaller than the blue edge pitch Λ blue , it has been found that the noise is reduced in a spectral region around the group velocity matched wavelength for the second section GVMW 2 , but not necessarily at very short wavelengths around 400 nm. E.g. it is observed that a group velocity matched wavelength GVMW 2 in the range from about 650 nm to 800 nm will provide low noise at least in the spectrum from about 680 nm to about 920 nm. The phrases “first length section of the fiber” and “second length section of the fiber” are not intended to limit the scope of the claims to situations where light propagates from the first fiber length section towards the second longitudinal position. The microstructured optical fiber also relates to embodiments, wherein light propagates from the second fiber length section towards the first fiber length section. Moreover, the phrases “first length section of the fiber” and “second length section of the fiber” are not intended to limit the scope of the claims to straight or uniform sections of fiber. In an embodiment the first and second sections of the fibers are uniform sections of fiber, and the pitch, microstructure diameter and relative size of microstructure elements are substantially constant throughout the section. In this case the first section and the second section may be connected via splicing or via tapering. Alternatively, either the first or the second section is uniform whilst the other has varying pitch, microstructure diameter and/or relative size of microstructure elements. In yet an alternative, both the first section and second section have varying size. In this alternative, both sections vary in size, pitch, microstructure diameter and/or relative size of microstructure elements. There may not be a clear distinction between the first and second length sections of the fiber. The microstructure may comprise different types of microstructure elements, such as elements comprising a material with a refractive index different from the refractive index of the background material, in which the elements are embedded. The microstructure elements may comprise air holes and/or material that are up-doped and/or down-doped relative to the background material, such as a silica material doped with index changing materials such as F, Ge, P, B, or combinations thereof. In the case where the microstructure elements are air holes, the terms “relative size of microstructure elements” and “relative hole size” are synonymous. The term “relative size microstructure elements” is a measure of the ratio of microstructure elements to base material of the fiber. A relative size of microstructure elements of zero corresponds to no microstructure elements, whilst a relative size of microstructure elements of close to one would indicate that the microstructure takes up most of the optical fiber in the cladding. Where each microstructure element of the cladding has a microstructure element diameter d and where the microstructure elements are arranged at a pitch Λ, the relative size of microstructure elements are d/Λ. It should be noted that the pitch Λ is a measure of the spacing between the microstructure elements, e.g. the distance between the centers of two adjacent microstructure elements. It is generally desired that the microstructure element of the cladding is arranged in rings surrounding the core, where the rings of the microstructure element preferably are in a hexagonal pattern. In an embodiment substantially all the microstructure elements in a given cross-section have similar size. In this context “substantially” is meant to mean at least 90% of the microstructure elements or more, such as 95% of the microstructure elements or more, such as 99% of the microstructure elements. Alternatively, the microstructure elements in a give cross-section have varying size, such as varying microstructure diameter. In this case, the parameters “pitch”, “microstructure diameter” and thus “relative size of microstructure elements” may be taken as an average of the parameters for all the microstructure elements in the cross-section, as an average of the parameters for the two or three rings of microstructure elements closest to the core of the optical fiber, or as the parameters of the row of microstructure elements closest to the core of the optical fiber. Preferably the microstructure elements of the first cladding region are arranged in a hexagonal pattern forming a number of rings surrounding the core. Optionally the microstructured optical fiber comprises one or more additional cladding regions surrounding the first cladding region wherein the one or more additional cladding regions optionally comprises microstructure elements e.g. arranged in a hexagonal pattern. The term “zero-dispersion wavelength” is taken to mean a wavelength where the dispersion of the optical fiber changes from negative to positive or from positive to negative when comparing two wavelengths close to the zero dispersion wavelength ZDW, such as within 10 nm of the ZDW, such as within 5 nm of the ZDW, such as within 1 nm of the ZDW, such as within 0.5 nm, such as within 0.1 nm, such as within 0.01 nm. It is customary in the literature to call the dispersion normal when it is negative and anomalous when it is positive; this terminology is adopted here. The above identified article by J. C. Travers discusses the effect of “soliton trapping”. In section 2.5 of the article, it is stated: “ . . . , the expansion of the supercontinuum to shorter wavelengths in the normal dispersion region [ . . . ] is limited by the fibre dispersion and pump wavelength. Further expansion requires a different mechanism. Over the last few years the dominant process for such expansion has become known as soliton trapping of dispersive waves [ . . . ]. This process begins when a suitably intense soliton temporally overlaps with a dispersive wave with the same group velocity, but in the normal dispersion region. In this case, intra-pulse four-wave mixing [ . . . ] can cause the dispersive wave to slightly blueshift and the soliton to slightly redshift. But note that there is no net energy transfer between the two. With normal dispersion a blueshift leads to a deceleration for the dispersive wave, and so it slows with respect to the soliton. The soliton, however, will also redshift due to the Raman-soliton self-frequency shift, and as it is in the anomalous region it will also decelerate. Eventually, it will decelerate enough to overlap again with the dispersive wave, and the process will repeat. It will eventually be limited, usually by a second zero-dispersion wavelength, preventing the soliton from further deceleration or simply extremely high losses on the long wavelength edge preventing further soliton redshift.” Thus, as solitons shift to longer wavelengths, the coupled dispersive wave is shifted to shorter wavelengths as dictated by the group velocity matching condition. In the context of the present invention, the phrase “supercontinuum” refers to a spectrally broad signal. The supercontinuum is said to have a “blue edge” defining the lower boundary of the spectrum and a “red edge” defining the upper boundary of the spectrum. In a silica optical fiber, the blue edge may be at a wavelength in the range of 300 nm to 600 nm, and the red edge may be at a wavelength in the range of 1300 nm to 2400 nm, such as in the range of 1600 nm to 2400 nm. The spectral width of the supercontinuum is usually defined as the difference between the wavelength of the red and blue edges. Where the second zero dispersion wavelength is larger than about 2400 nm, the second zero dispersion wavelength does not have influence on the blue edge, in that redshifting of solitons is limited by losses in the fiber, preventing further soliton redshift. It is well-known that the microstructures of a PCF manipulates the properties of light passing through the fiber and provides a tremendous degree of design freedom, i.a. moving the ZDW of the fiber into the visible spectrum. FIG. 1 corresponds to FIG. 2 b of “Optimum PCF tapers for blue-enhanced supercontinuum sources” by U. Møller et al, Optical Fiber Technology 18, 2012, pages 304-314, and shows dispersion profiles of three fibers having different pitch, but constant relative size of microstructure elements, viz. hole-to-pitch ratio of d/Λ=0.52. The fiber is a commercially available fiber (SC-5.0-1040, NKT Photonics A/S). The inset in FIG. 1 is a microscope image of the fiber cross-section. From FIG. 1 it may be seen that the fiber having pitch 3.3 μm has a first zero dispersion wavelength of about 1050 nm, the fiber having a pitch of 2.5 μm has a first zero dispersion wavelength of about 1000 nm and the fiber having a pitch of 3.3 μm has a zero dispersion wavelength below 900 nm. The two fibers having pitch 3.3 μm and 2.5 μm do not have a second zero dispersion wavelength below 2400 nm; however, the fiber having pitch 1.7 μm has a second zero dispersion wavelength of about 1800 nm. The blue edge pitch Λ blue can be determined by experiments or it may be calculated e.g. as described by Simon Toft Soerensen in “Deep-blue supercontinuum light sources based on tapered photonic crystal fibers, PhD Thesis, Technical University of Denmark, June 2013. Calculations of the blue edge wavelength for a given pitch and relative size d/Λ of microstructure elements, viz. hole-to-pitch ratio, is also described in the review article “Blue extension of optical fiber supercontinuum generation” by J. C. Travers, J. Opt. 12 (2010). In the review article by J. C. Travers the blue edge is defined as the shortest group-velocity matched wavelength. J. C. Travers found that the optimum pitch for large hole-to-pitch ratios was about 2 μm almost independently of the hole-to-pitch ratio. FIG. 2 corresponds to FIG. 5 of “Optimum PCF tapers for blue-enhanced supercontinuum sources” by U. Møller et al, Optical Fiber Technology 18, 2012, pages 304-314, and shows the blue edge wavelength Λ blue as a function of pitch Λ assuming group-velocity matching to a loss edge of 2300 nm or 2400 nm. The difference in the maximum blueshift of dispersive waves from a soliton reaching 2400 nm and a soliton reaching 2300 nm is illustrated for a fixed hole-to-pitch ratio of 0.52. It may be seen from FIG. 2 that for group-velocity matching to a loss edge of 2300 nm, the minimum blue edge wavelength is about 465 nm, obtainable at a pitch of about 2.6 μm. FIG. 2 also shows that for group-velocity matching to a loss edge of 2400 nm, the minimum blue edge wavelength is about 475 nm, obtainable at a pitch of about 2.5 μm. If the pitch of the fiber is reduced from the pitch given the smallest blue edge wavelength Λ blue , the blue edge wavelength Λ blue is increased. The review article “Blue extension of optical fiber supercontinuum generation” by J. C. Travers, J. Opt. 12 (2010) describes the relation between the shortest group velocity matched wavelength as a function of the pitch Λ and the relative hole size, viz. the hole diameter to pitch ratio, d/Λ. It is shown that a pitch Λ of around 2 μm gives the shortest matched wavelength almost independently of d/Λ. Moreover, the larger the pitch ratio d/Λ, the shorter the group velocity matched wavelength becomes. See for example FIG. 17 of the review article by Travers. As mentioned above, the article “Control of pulse-to-pulse fluctuations in visible supercontinuum”, by A. Kudlinski et al. in “Optics Express, 20 Dec. 2010, Vol. 18, No. 26 relates to tapering of fibers in order to move the noise to lower wavelengths of the spectrum. FIG. 3 is a copy of FIG. 3 of this article by Kudlinski, and shows power and noise as a function of the wavelength of a supercontinuum spectrum obtained from a microstructured optical fiber tapered down (shown in the lower figure by squares) in order to move the noise as compared to a uniform fiber (shown in the lower figure by circles). The noise spectra and the power spectra show that for a uniform fiber, the blue edge of the spectrum is at about 500 nm, and that the noise is substantially higher for the uniform fiber at wavelengths between 500 nm and 700 nm. However, for wavelengths between about 700 nm and about 1500 nm, the noise is substantially equal for the tapered and the uniform fiber. Thus, Kudlinski does not teach how to lower the noise for a large part of the supercontinuum spectrum, only for the wavelength range between about 500 nm and 700 nm. The inventors have realized that providing the microstructured optical fiber such that the second cross-section has a pitch that is smaller than the blue edge pitch Λ blue and such that the relative size d 1 /Λ 1 of microstructure elements in the first cross-section is 0.75 or less actually reduces the noise over a large wavelength range instead of just moving the noise to smaller wavelengths. In an embodiment, the second zero dispersion wavelength ZDW 2 2 in the second cross-section of the microstructured optical fiber is less than up to about 2000 nm, such as less than up to about 1800 nm, such as less than up to about 1600 nm, such as less than up to about 1400 nm, such as less than up to about 1200 nm. The design of a fiber in order to achieve such a second zero dispersion wavelength is well-known, as described by U. Møller and J. C. Travers, and may be achieved by down-tapering, choice of pitch, etc. Having the second zero dispersion wavelength ZDW 2 at about 2200 nm or less i.e. well below 2400 nm means that the second zero dispersion wavelength, as opposed to the loss edge wavelength, limits the redshifting solitons, which then again limits the blueshift of the group-velocity matched dispersive waves. In an embodiment, the microstructured optical fiber in the second section has a group velocity matched wavelength GVMW 2 corresponding to the second zero dispersion wavelength ZDW 2 2 , where the group velocity match wavelength GWMW 2 is a wavelength shorter than the second zero dispersion wavelength ZDW 2 2 having a group index corresponding to the group index of the light at the zero dispersion wavelength ZDW 2 2 , and wherein the group velocity matched wavelength GVMW 2 is in the range from about 650 nm to about 800 nm. A group velocity matched wavelength GVMW 2 in the range from about 650 nm to 800 nm will provide low noise at least in the spectrum from about 680 nm to about 920 nm. These absolute values of the group velocity matched wavelengths are only examples. In general, if a supercontinuum spectrum having low noise in a specific wavelength range from X to Y is desirable, the optical fiber of the supercontinuum source should be designed so that the group velocity matched wavelength corresponding to the second zero dispersion wavelength lies in the range between X or a wavelength that is slightly smaller than X and a wavelength of about (X+Y)/2, viz. around the wavelength in the middle of the interval from X to Y. In an embodiment, the second length L 2 of the optical fiber is larger than about 1 m, such as larger than about 3 m, such as larger than about 5 m, such as larger than about 10 m. However, it has been shown that the second length L 2 in the case of a tapered fiber may be quite short, such as about 0.5 m or even down to about 20 or 10 cm. In an embodiment, the sum of the first and second lengths of the microstructured fiber is about 50 m or shorter, such as about 30 m or shorter, such as about 20 m or shorter, such as about 10 m or shorter. These examples of the sum of the first and second lengths of the microstructured fiber all provide a broad supercontinuum light, when pump light in the range between about 1000 nm and about 1100 nm is fed into the fiber. In an embodiment, the second relative size d 2 /Λ 2 of the microstructure elements of the microstructured optical fiber is about 0.75 or less, such as about 0.65 or less, such as about 0.55 or less, such as about 0.5 or less, such as about 0.4 or less. In this embodiment, the second relative size d 2 /Λ 2 of the microstructure elements, in the second section of the fiber, is similar to or less than the first relative size d 1 /Λ 1 of the microstructure elements in the first section of the fiber. In an embodiment, the second relative size d 2 /Λ 2 of the microstructure elements in the second section of the microstructured optical fiber is smaller than first relative size d 1 /Λ 1 of the microstructure elements in the first section of the microstructured optical fiber. This is particularly relevant for optical fibers, where the first and second sections are spliced together. In this case a smaller relative size of microstructure elements in the second section combined with an only somewhat smaller absolute decrease in pitch in the second section compared to the first section has turned out to give good results in the form of small splicing losses. In an embodiment, the second relative size d 2 /Λ 2 of the microstructure elements in the second section of the microstructured optical fiber is substantially equal to the first relative size d 1 /Λ 1 of the microstructure elements in the first section of the microstructured optical fiber. When the first and second sections of the fiber are connected via a tapered section, it is possible to choose whether to keep the relative size of microstructure elements constant throughout the fiber sections or whether to vary the relative size throughout the fiber sections. Such tapers with relative size of microstructure elements constant are inter alia described in the international patent application WO2012028152. In an embodiment, the first pitch Λ 1 is about 1.9 μm or larger, such as about 2.2 μm or larger, such as about 2.5 μm or larger, such as about 2.8 μm or larger, such as about 3.1 μm or larger, such as about 3.4 μm or larger, such as about 3.7 μm or larger. In an embodiment the second pitch Λ 2 of the microstructured optical fiber is about 0.9 times the blue edge pitch Λ blue or smaller, such as about 0.8 times the blue edge pitch Λ blue or smaller, such as about 0.7 times the blue edge pitch Λ blue or smaller, about 0.6 times the blue edge pitch Λ blue or smaller. These small values of the second pitch provide a fiber that is able to generate a supercontinuum spectrum with low noise in a large part of the visible spectrum. In an embodiment, the microstructured optical fiber further comprises a first tapered section with length L t1 , wherein the tapered section connects the first section and the second section. In an embodiment, the first and second sections are substantially straight sections of fiber, where the fiber parameters in the first section are uniform, and the fiber parameters in the second section are uniform, whilst at least one or more of the fiber parameters differ between the first and second section. The term “fiber parameters” are meant to cover the pitch, the relative size of microstructure elements, the second zero dispersion wavelength. The tapered section has varying fiber parameters throughout at least a part of the section and connects the first and second sections. The international patent application WO2012028152 mentioned above describes how to provide a microstructured optical fiber with one or more tapered sections. A microstructured optical fiber with a first and second section connected via a tapered section may be manufactured as one fiber in a drawing tower. Thus, a fiber with varying fiber parameters may be obtained without splicing, and thus without occurrence of splicing losses. In an embodiment, the first zero dispersion wavelength ZDW 2 1 of the second section of the optical fiber is less than about 1000 nm, such as less than about 900 nm. For efficient visible supercontinuum generation it is of great importance that the pump wavelength is close to the first zero dispersion wavelength. When the first zero dispersion wavelength is less than about 1000 nm, a commercially available laser source, e.g. ytterbium (1064 nm), may be used as a pump source for generating supercontinuum generation. In an embodiment, wherein the length of the tapered section L t1 is about 0.1 m or larger, such as about 0.2 m or larger, such as about 0.5 m or larger, such as about 1 m or larger, such as about 1.5 m or larger, such as 2 m or larger, such as 5 m or larger, such as 10 m or larger. It is advantageous to manufacture the optical fiber in a drawing tower. In a drawing tower these lengths of tapered section may be provided. In the case where the microstructured optical fiber comprises a tapered section connecting the first and the second section, the first length L 1 is less than 0.5 m, such as less than 0.4 m, such as less than 0.3 m, such as less than 0.2 m, such as less than about 0.1 m. It has turned out that these lengths of a first section in an optical fiber having a tapered section provide a reduced noise compared to a uniform fiber having the dimensions of the first section. In an embodiment, the second pitch Λ 2 is smaller than the first pitch Λ 1 . As an example the relative size of the microstructure elements are the same in the first and the second section of the fiber, whilst the second pitch Λ 2 is smaller than the first pitch Λ 1 . This may be obtained in during manufacture of the optical fiber in a drawing tower. In an embodiment the second pitch Λ 2 of the second section is in the range from about 1.1 μm to about 1.7 μm, such as in the range from about 1.3 μm to about 1.5 μm. This range of pitches combined with an indication of the relative size of the microstructure elements corresponds to a given range of group velocity matched wavelengths corresponding to the second zero dispersion wavelength as shown in FIG. 5 . This range is particularly relevant for a fiber having a second relative size of microstructure element of about 0.52. A given value of a group velocity matched wavelength corresponds to a given range of wavelengths in the incoherent supercontinuum spectrum obtainable by the optical fiber, where the noise in the given range is reduced. In an embodiment, the microstructured optical fiber further comprises a second tapered section L 1e and a third section with third length L 3 , a third pitch Λ 3 , a third microstructure diameter d 3 and a third relative size d 3 /Λ 3 of microstructure elements. The third pitch Λ 3 is larger than the second pitch Λ 2 . In an embodiment, the fiber thus contains the first length section, a first tapered section, a second length section, a second tapered section, and a third length section. When the second length section has reduced dimensions in the form of reduced size of the core, reduced size of the cladding and/or reduced outer dimensions, it is advantageous to have a second tapering section and a third length section with larger dimensions than the second length section, in order to handle and/or connect the fiber to further equipment or another fiber. In an embodiment, a cross-section through the first tapered section perpendicularly to the longitudinal axis of the fiber comprises microstructures at a first tapered pitch Λ t1 , a first tapered microstructure diameter d t1 and a first tapered relative size d t1 /Λ t1 of microstructure elements, wherein the first tapered section of the optical fiber has a taper group velocity matched wavelength GVMW t1 corresponding to a second zero dispersion wavelength ZDW t1 in the cross-section, where the taper group velocity matched wavelength GVMW t1 is in the range from about 400 nm to about 500 nm for any cross-section of the first tapered section. When the group velocity matched wavelength stays within the range from about 400 nm to about 500 nm for all cross-sections through the first tapered section, it is possible to obtain a supercontinuum spectrum having a power of at least 2 mW per nm at most wavelengths in the visible spectrum, down to at least about 400-430 nm. In a microstructured optical fiber wherein the second section is tapered, the above range for the group velocity matched wavelength may be relevant for any part of the second section. In an embodiment, the first relative size d 1 /Λ 1 of microstructure elements is about 0.55 or larger, such as about 0.65 or larger, such as about 0.75. These dimensions of the first relative size of microstructure elements are in particular advantageous when the microstructured optical fiber comprises a tapered section. In an embodiment, the first section of the microstructured optical fiber is coupled to the second section by a splicing. This is an alternative to tapering the fiber from the dimensions of the first section to the dimensions of the second section, or vice versa. In the context of the present invention, such a fiber, where a first and second section with differing dimensions are spliced together, is denoted “a cascaded fiber”. In an embodiment the first section of the microstructured optical fiber is coupled to the second section by a splicing while one or both of the first section second section comprises a tapered section or sub-section. In an embodiment of the microstructured optical fiber, the first zero dispersion wavelength ZDW 2 1 of the second section is less than about 1100 nm. For example it is about 1060 nm. In an embodiment, the first relative size d 1 /Λ 1 of the microstructure elements is larger than the second relative size of the microstructure elements d 2 /Λ 2 , such as about 1.2 times the second relative size d 2 /Λ 2 of the microstructure elements or larger, such as about 1.3 times the second relative size d 2 /Λ 2 of the microstructure elements or larger, such as about 1.4 times the second relative size d 2 /Λ 2 of the microstructure elements or larger, such as about 1.5 times the second relative size d 2 /Λ 2 of the microstructure elements. In an embodiment where the microstructured optical fiber is a spliced fiber comprising a first section, e.g. a first uniform section with substantially constant dimensions, and a second section, e.g. a second uniform section with substantially constant dimensions, where the outer dimensions of the second section are smaller than those of the first section, it is advantageous that the second relative size of microstructure elements is larger than the first relative size of microstructure elements due to reduced splicing losses. When the second relative size of microstructure elements is larger than the first relative size of microstructure elements, the area of the core in the second section is also larger than it would have been if the relative size of the microstructure elements was similar in the first and second section of the fiber. This provides a larger mode field diameter of the light in the second section compared to the mode filed diameter in the case where the relative size of microstructure elements was similar in the first and second section. This leads to a reduction in splicing loss between the first and second section, compared to the situation where the relative size of microstructure elements was similar in the first and second section. In an embodiment, the second pitch Λ 2 is at about 2 μm or larger, such as at about 2.3 μm or larger, such as at about 2.6 μm or larger, such as at about 3 μm or larger. These pitch values provide a relatively large mode field diameter within the fiber in particular where the second pitch is smaller than the blue edge pitch Λ blue . Therefore, splicing losses between the first and second section are reduced compared to a second section with a smaller second pitch. In an embodiment, the first length L 1 of the optical fiber is in the range from about 1 m to about 5 m or less, such as in the range from about 2 m to about 4 m. In the case where the microstructured optical fiber is a cascaded fiber, where the first and second sections are spliced together, L 1 is advantageously between about 2 meter and about 4 meter. As mentioned above, the invention also relates to an incoherent supercontinuum source comprising a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light. The microstructured optical fiber has a length and a longitudinal axis along its length. Moreover, the microstructured optical fiber comprises, along its length, a core region that is capable of guiding light along the length of the microstructured optical fiber, and a first cladding region surrounding the core region. The first cladding region comprising a microstructure has a plurality of microstructure elements. The incoherent supercontinuum source further comprises a pump source with a center wavelength between about 1000 nm and about 1100 nm and a pulse length of longer than about 500 fs. Along its length the microstructured optical fiber comprises a first section with a first length L 1 . At least at a first cross-section through the first section perpendicularly to the longitudinal axis the microstructure elements of the optical fiber has a first pitch Λ 1 , a first microstructure diameter d 1 and a first relative size d 1 /Λ 1 of microstructure elements. The microstructured optical fiber has at least in the first cross-section a first zero dispersion wavelength ZDW 1 1 in the range from about 920 to about 1120 nm. The microstructured optical fiber further comprises a second section with a second length L 2 . At least at a second cross-section through the second section perpendicularly to the longitudinal axis the microstructure elements of the optical fiber has a second pitch Λ 2 , a second microstructure diameter d 2 and a second relative size d 2 /Λ 2 of microstructure elements. The microstructured optical fiber at least in the second cross-section through the microstructured optical fiber has a first zero dispersion wavelength ZDW 2 1 and a second zero dispersion wavelength ZDW 2 2 , where the second zero dispersion wavelength is about 2400 nm or shorter. The sum of the first length L 1 and the second length L 2 is about 1 meter or larger, such as 3 meter or larger, such as 5 meter or larger, such as 10 meter or larger. The relative size d 1 /Λ 1 of microstructure elements in the first cross-section is about 0.75 or less, such as 0.6 or less, such as 0.55 or less. Preferably the second pitch Λ 2 is smaller than a blue edge pitch Λ blue , wherein the blue edge pitch Λ blue is defined as a specific pitch giving the shortest possible blue edge wavelength of the supercontinuum light for the second relative size d 2 /Λ 2 of microstructure elements. Advantageously the optical fiber of the incoherent supercontinuum light source is as described in one or more of the above embodiments. In an embodiment the pulse duration Δt of the pump light source is more than about 1 ps, such as more than about 5 ps, such as more than about 10 ps, such as more than about 50 ps, such as more than about 100 ps, such as more than about 500 ps, such as more than about 1 ns, such as more than about 2 ns, such as more than about 5 ns, such as more than about 10 ns, such as more than about 50 ns, such as more than about 1 ms. The output pulse length is controllable by controlling the pump characteristics, i.a. the pump pulse length. In an embodiment the pulse duration Δt of the pump light source is less than about 1 ms, such as less than about 50 ns, such as less than about 10 ns, such as less than about 5 ns, such as less than about 2 ns, such as less than about 1 ns, such as less than about 500 ps, such as less than about 100 ps, such as less than about 50 ps, such as less than about 10 ps, such as less than about 5 ps, such as less than about 1 ps. It is well-known to the skilled person that the output pulse length of a supercontinuum light source is controllable by controlling the characteristics of the light fed into the optical fiber, i.e. by controlling the pump pulse characteristics. In an embodiment the pump light source comprises a mode-locked laser and at least one amplifier, and the supercontinuum light source has an output spliced onto the input end of the optical fiber. In this embodiment, the connection feeding light from the pump light source to the optical fiber does not comprise any free space couplings. Advantageously the number of splicings and/or intermediate fibers is reduced, which makes it possible to produce the optical fiber faster compared to an optical fiber with more splicings. This embodiment is in particular suitable for systems with picosecond pulses having one or more amplifier. In an embodiment, the pump source of the incoherent supercontinuum source is a seed laser arranged to provide seed pulses with a seed pulse frequency F seed . The supercontinuum source further comprises a pulse frequency multiplier (PFM) arranged to multiply the seed pulses and convert the seed pulse frequency F seed to pump pulses with a pump pulse frequency F pump , where the pump pulse frequency F pump is larger than the seed pulse frequency F seed . The pulse frequency multiplier is advantageous in that it provides an increase in average optical power relative to the configuration where the pulse frequency multiplier is omitted because the repetition rate of the pump pulses is increased while the peak power and pulse duration is substantially constant. In an embodiment, the supercontinuum light source is used for at least one of the following applications: photoacoustic measurements, multi-spectral imaging, LIDAR (Light Detection and Ranging), STED (Stimulated Emission Depletion), fluroresecence lifetime imaging (FLIM) or optical coherence tomography (OCT). The supercontinuum light source has shown to be surprisingly suitable for use in optical coherence tomography (OCT). This is due to the high stability and very low noise over a broad spectral range. In an embodiment the supercontinuum light source is used in spectral domain OCT. The invention also relates to an optical coherence tomography (OCT) acquisition system comprising a supercontinuum light source as described above and a detector for collecting reflected light and an image processor for analyzing the detected reflected light. The OTC acquisition system advantageously comprises one or more spectral filters for selecting a suitable spectral part of a light pulse to be applied in a given analysis. In an embodiment the selected bandwidth of the acquisition system is such as more than 100 nm, such as more than 200 nm, such as more than 300 nm, such as more than 400 nm or such as more than 500 nm. In an embodiment the central wavelength of the acquisition system is such as between 750 and 850 nm, such as between 1000 and 1100 nm, or such as between 1200 and 1400 nm. In an embodiment the acquisition system is configured to determine a wavefront aberration in a coherent signal to thereby provide a wavefront sensor. Thereby the OTC acquisition system is in particular suitable for use in laser eye surgery in particular for ensuring reduced risk of damage to the retina and optic nerve. The invention also comprises a method of performing laser eye surgery of an eye comprising a cornea using the OTC acquisition system described above. Advantageously the laser eye surgery is LASIK (Laser Assisted in situ Keratomileusis). A LASIK surgery is usually performed by an ophthalmologist who uses a laser to reshape the eye's cornea in order to improve visual acuity. The method of the invention comprises providing a topographic map of the cornea using an optical coherence tomography (OCT) acquisition system as described above and applying the topographic map for determining the amount and the location of corneal tissue to be removed. The method advantageously comprises cutting through the corneal epithelium and Bowman's layer of the eye and creating a flap using a laser e.g. comprising a supercontinuum source as described above. The laser may e.g. be applied to create a series of tiny closely arranged bubbles within the cornea while leaving a hinge at one end of this flap. The flap is folded back, revealing the stroma (the middle section of the cornea). The second step of the procedure uses advantageously an excimer laser (e.g. about 193 nm) or another UV laser to remodel the corneal stroma. The laser vaporizes the tissue in a finely controlled manner without damaging the adjacent stroma. The excimer laser advantageously uses an eye tracking system based on the topographic map or tracked by the OTC acquisition system arranged to follow the patient's eye position during the surgery e.g. several hundred or thousands time per second and which is redirecting laser pulses for precise placement within the treatment zone. Typical pulses are around 1 milijoule (mJ) of pulse energy in 10 to 20 nanoseconds. After the laser has reshaped the stromal layer, the LASIK flap is carefully repositioned over the treatment area by the surgeon and checked for the presence of air bubbles, debris, and proper fit on the eye. The flap remains in position by natural adhesion until healing is completed.","TECHNICAL FIELD The invention relates to a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light. The invention also relates to a supercontinuum light source comprising a microstructured optical fiber and to the use of such light source. BACKGROUND OF THE INVENTION In optical fibers, a supercontinuum light is formed when a collection of nonlinear processes act together upon feeding of a pump beam in order to cause spectral broadening of the original pump beam. The result may be a smooth spectral continuum spreading such as over more than an octave of wavelengths. Suitable non-linear processes are for example self-phase and cross-phase modulation, four-wave mixing, Raman gain or soliton based dynamics, interacting together to generate the supercontinuum light. In order to get the broadest continua in an optical fiber, it is most efficient to pump in the anomalous dispersion regime; however a spectral continuum may in some optical fibers be obtainable by pumping in the normal dispersion regime. Microstructured optical fibers may be designed for supercontinuum generation due to their high non-linearity and their customizable zero dispersion wavelength. Microstructured optical fibers may be formed from a background material, e.g. silica, and comprise a solid core region surrounded by a cladding region, which comprises an array of cladding features, e.g. air filled holes, in a background matrix. The air/background material of the cladding creates an effective refractive index which may be less than the refractive index of the core region and thus permits the guidance of light within the core by a variation of the traditional mechanism of total internal reflection. The terms “microstructured fibers” and “microstructured optical fibers” are in this context meant to cover optical fibers comprising microstructures such as photonic crystal fibers, photonic bandgap fibers, leaky channel fibers, holey fibers, etc. Unless otherwise noted the refractive index refers to the average refractive index which is usually calculated for the core and each layer surrounding it separately, whether the fiber is a standard fiber, where the core and any cladding layers surrounding that core have a substantially homogeneous refractive index, or a microstructured fiber where the core and/or one or more cladding layers comprise microstructures. A cladding layer is defined a layer with a thickness and surrounding the core where the refractive index is substantially homogeneous or where the where the layer has a base material with substantially homogeneous refractive index and a plurality of microstructures arranged in a uniform pattern. The zero dispersion wavelength (ZDW) is an important parameter in the generation of supercontinuum spectra where the widest spectra are produced when the pump wavelength is relatively close to the ZDW. In microstructured fibers, it is well known to shift the ZDW to thereby enable laser sources having different wavelengths to be utilised as pump sources in the generation of supercontinuum spectra. The microstructured optical fibers will have a U-shaped variation in group index curve as a function of wavelength. The group index at a larger wavelength is matched to the group index at a shorter wavelength. A frequency-shifting soliton propagating in the anomalous-dispersion regime effectively traps blue radiation propagating with the same group index on the other arm of the “U” in a potential well and scatters the blue radiation to shorter wavelengths. Supercontinuum generation is a complex process, and any quantitative explanation of the underlying physics must take into account a number of different fiber and pulse parameters. Nonetheless it is generally accepted that the most efficient method to obtain a very broad supercontinuum is by using a pump wavelength slightly in the anomalous group-velocity dispersion (GVD) regime of a highly nonlinear Photonic Crystal Fiber (PCF) with only one zero-dispersion wavelength (ZDW) below the absorption limit of the material. In contrast, pumping in the normal GVD regime of a PCF will in general reduce the bandwidth and require a longer length of the PCF (J. Dudley et al, “Supercontinuum generation in photonic crystal fiber”, Reviews of Modern Physics, Vol. 78, p. 1135, October-December 2006). Typically prior art high power supercontinuum sources use a pump wavelength of around 1064 nm and a PCF with a core size of about 3.5 to 5 μm having a ZDW slightly below the pump wavelength. Typical examples of such fibers are the commercial products from SC-5.0-1040 (core size 5.0 μm, ZDW=1040 nm) and SC-3.7-975 (core size 3.7 μm, ZDW=1040 nm) from NKT Photonics. A standard calculation of the dispersion of a PCF with a given core size, shows that the ZDW decreases when the relative hole size increases (defined as hole size divided by pitch). As the core size of the PCF increases, so does the relative hole size that is required to obtain a ZDW of about 1064 nm. For very large relative hole sizes, it is possible to obtain a ZDW at 1064 nm for core sizes up to about 6 μm. Hence in order to have anomalous dispersion at a wavelength of 1064 nm in a PCF, the core size is limited to about 6 μm or less. In supercontinuum sources it is advantageous to reduce the noise. It is an object of the invention to provide an optical fiber arranged to generate incoherent supercontinuum light with reduced noise. The article “Zero-dispersion wavelength decreasing photonic crystal fibers for ultraviolet-extended supercontinuum generation” by Kudlinski et al., Optics Express Vol. 14, No. 12, 12 Jun. 2006, describes tapering of fibers in order to extend the generation of supercontinuum spectra from the visible into the ultraviolet. This article describes the manufacturing of tapered microstructured fibers with a regular array of microscopic air holes surrounding a solid silica core. By adjusting the drawing parameters, tapered fibers with a length in the order of 10 m are manufactured with a continuously-decreasing ZDW along their length. The article describes that this decreasing ZDW extends the generation of supercontinuum spectra from the visible into the ultraviolet. The article relates to fibers with large holes, and indicates that the shortest edge of the supercontinuum spectrum is achieved for fibers having a core size at about 2 μm. The article also states that further tapering to smaller core sizes does not provide light at shorter wavelengths, but merely decreases the power. The article “Control of pulse-to-pulse fluctuations in visible supercontinuum”, by A. Kudlinski et al. in “Optics Express, 20 Dec. 2010, Vol. 18, No. 26, examines fluctuations in supercontinuum systems. It is described that millimeter-long post-processed tapers result in a low spectral power density in the visible spectrum, which is detrimental to many applications. Thus, short tapers are unsuitable for incoherent SC sources. The article thus describes tower tapered fibers. The power spectra and pulse-to-pulse fluctuation spectra of the supercontinuum spectrum are compared for a system with a 15 m uniform PCF and system with a fiber having an 8 m uniform PCF followed by a 7 meter long tapered section. It is seen that the tapered system extends the light into ultra-violet, as described earlier in the previously mentioned paper from Kudlinski, 2006. For the 15 m uniform fiber, it was found that the pulse-to-pulse fluctuations have a nearly stable level from 700 nm to 1400 nm, but that the fluctuations are increased for wavelengths below 700 nm. For the system using the tapered fiber, the pulse-to-pulse fluctuations from 700 nm to 1400 nm are similar to the system with the uniform fiber, whilst the flat level is maintained all the way down to around 400 nm for the system using the tapered fiber. The review article “Blue extension of optical fibre supercontinuum generation” by J. C. Travers in Journal of Optics, J. Opt. 12(2010), 113001, describes how to design fibers for obtaining the shortest possible blue edge by choosing the dispersion of a fiber, its nonlinear properties and the effective area of the fiber. It is shown that the low wavelength edge for the supercontinuum is obtained where there is a group velocity match to the wavelength at the infrared loss edge. Furthermore the low wavelength edge is mapped as a function of pitch and relative hole size in the PCF. It is found that the shortest low wavelength edge is obtained for very large relative hole sizes (d/Λ>0.85) and at pitches around 2.0 μm. Furthermore, the pitch giving the lowest wavelength edge increases as the relative hole size decreases, to e.g. around A=2.3 μm at d/Λ=0.60. These conclusions are confirmed in the review paper “Optimum PCF tapers for blue-enhanced supercontinuum sources” by U. Møller et al, Optical Fiber Technology 18, 2012, pages 304-314, wherein it is described that tapering of photonic crystal fibers has proven to be an effective way of blue shifting the dispersive wavelength edge of a supercontinuum spectrum down in the deep-blue. This paper also describes how high-energy solitons reaching the infrared loss edge through trapped and group-velocity matched dispersive waves is an effective way of blue shifting the blue edge of a supercontinuum spectrum. The paper “Low noise wavelength conversion of femtosecond pulses with dispersion micro-managed holey fibers” by Fei Lu and Wayne H. Knox, Opt. Express, Vol. 13, No. 20, page 8172 (2005), describes how to minimize the noise for coherent supercontinuum sources, pumped with 100 femto-second pulses at 920 nm. The supercontinuum and noise spectra are compared for an 80 cm uniform fiber, a fiber having a 2.6 cm taper and a dispersion micro-managed fiber comprising a taper with length of <1 cm. It is shown that the noise is largest for the uniform fiber, and lowest for the dispersion micro-managed fiber. It is explained that this is due to the short length of the dispersion micro-managed fiber matching the length scale for the soliton fission process while being too short to allow for additional “messy fission collisions” (sic) to take place. It is worth noticing that for coherent sources the supercontinuum spectrum is seeded by the pulse itself by soliton fission processes, whereas for incoherent supercontinuum sources the supercontinuum is seeded from noise, and thus it is not possible to make a dispersion micro managed fiber as taught by Lu and Knox for incoherent supercontinuum sources. SUMMARY OF THE INVENTION It is an object of the present invention to provide an optical fiber for generating incoherent supercontinuum light with improved quality and/or reduced noise. In an embodiment it is an object to provide an optical fiber for generating supercontinuum light with an increased output power compared with present optical fibers, at least in some spectral ranges within the supercontinuum. In an embodiment it is an object to provide a supercontinuum light source with a decreased noise output compared to present supercontinuum light sources. The supercontinuum light source of the invention is advantageously suitable for use in an optical measurement system. In an embodiment of the present invention a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light is provided. The optical fiber has a length and a longitudinal axis along its length, and comprises a core region that is capable of guiding light along the length of the optical fiber. The optical fiber also has a first cladding region surrounding the core region. The first cladding region comprises a microstructure having a plurality of microstructure elements. Along its length, the microstructured optical fiber comprises a first section with a first length L1. At least at a first cross-section through the first section perpendicularly to the longitudinal axis the microstructure elements of the optical fiber has a first pitch Λ1, a first microstructure diameter d1 and a first relative size d1/Λ1 of microstructure elements. At least in the first cross-section the microstructured optical fiber has a first zero dispersion wavelength ZDW11 in the range from about 920 to about 1120 nm. Along its length, the optical fiber moreover comprises a second section having a second length L2. At least at a second cross-section through the second section perpendicularly to the longitudinal axis the microstructure elements have a second pitch Λ2, a second microstructure diameter d2 and a second relative size d2/Λ2 of microstructure elements. The microstructured optical fiber has at least in the second cross-section through the microstructured optical fiber a first zero dispersion wavelength ZDW21 and a second zero dispersion wavelength ZDW22, where the second zero dispersion wavelength is about 2200 nm or shorter. The sum of the first length L1 and the second length L2 is about 1 meter or larger, such as about 3 meter or larger, such as about 5 meter or larger, such as about 10 meter or larger. The relative size d1/Λ1 of microstructure elements in the first section is about 0.75 or less, such as about 0.65 or less, such as about 0.55 or less. It has been found that the microstructured optical fiber with the first zero dispersion wavelength ZDW11 in the range from about 920 to about 1120 nm and the zero dispersion wavelength about 2200 nm or shorter can be provide an incoherent supercontinuum spectrum with reduced noise over a large range of wavelengths such as wavelength at least about 100 nm higher than the possible blue edge wavelength, for example wavelength in the range from about 600 nm to about 1000 nm, such as about 650 nm to about 950 nm, such as about 680 nm to about 920 nm. Advantageously, the second pitch Λ2 is smaller than a blue edge pitch Λblue. The blue edge pitch Λblue is defined as a specific pitch giving the shortest possible blue edge wavelength of the supercontinuum light for the second relative size d2/Λ2 of microstructure elements. The microstructured optical fiber according to an embodiment of the invention having a second section with a second pitch smaller than the blue edge pitch provides, when pumped with a pump source with a center wavelength between about 1000 nm and about 1100 nm, an incoherent supercontinuum spectrum with a very low noise and it has been found that the incoherent supercontinuum spectrum may have reduced noise over a large range of wavelengths, e.g. compared to a uniform fiber having the dimensions of the first section. When the second pitch is smaller than the blue edge pitch Λblue, it has been found that the noise is reduced in a spectral region around the group velocity matched wavelength for the second section GVMW2, but not necessarily at very short wavelengths around 400 nm. E.g. it is observed that a group velocity matched wavelength GVMW2 in the range from about 650 nm to 800 nm will provide low noise at least in the spectrum from about 680 nm to about 920 nm. The phrases “first length section of the fiber” and “second length section of the fiber” are not intended to limit the scope of the claims to situations where light propagates from the first fiber length section towards the second longitudinal position. The microstructured optical fiber also relates to embodiments, wherein light propagates from the second fiber length section towards the first fiber length section. Moreover, the phrases “first length section of the fiber” and “second length section of the fiber” are not intended to limit the scope of the claims to straight or uniform sections of fiber. In an embodiment the first and second sections of the fibers are uniform sections of fiber, and the pitch, microstructure diameter and relative size of microstructure elements are substantially constant throughout the section. In this case the first section and the second section may be connected via splicing or via tapering. Alternatively, either the first or the second section is uniform whilst the other has varying pitch, microstructure diameter and/or relative size of microstructure elements. In yet an alternative, both the first section and second section have varying size. In this alternative, both sections vary in size, pitch, microstructure diameter and/or relative size of microstructure elements. There may not be a clear distinction between the first and second length sections of the fiber. The microstructure may comprise different types of microstructure elements, such as elements comprising a material with a refractive index different from the refractive index of the background material, in which the elements are embedded. The microstructure elements may comprise air holes and/or material that are up-doped and/or down-doped relative to the background material, such as a silica material doped with index changing materials such as F, Ge, P, B, or combinations thereof. In the case where the microstructure elements are air holes, the terms “relative size of microstructure elements” and “relative hole size” are synonymous. The term “relative size microstructure elements” is a measure of the ratio of microstructure elements to base material of the fiber. A relative size of microstructure elements of zero corresponds to no microstructure elements, whilst a relative size of microstructure elements of close to one would indicate that the microstructure takes up most of the optical fiber in the cladding. Where each microstructure element of the cladding has a microstructure element diameter d and where the microstructure elements are arranged at a pitch Λ, the relative size of microstructure elements are d/Λ. It should be noted that the pitch Λ is a measure of the spacing between the microstructure elements, e.g. the distance between the centers of two adjacent microstructure elements. It is generally desired that the microstructure element of the cladding is arranged in rings surrounding the core, where the rings of the microstructure element preferably are in a hexagonal pattern. In an embodiment substantially all the microstructure elements in a given cross-section have similar size. In this context “substantially” is meant to mean at least 90% of the microstructure elements or more, such as 95% of the microstructure elements or more, such as 99% of the microstructure elements. Alternatively, the microstructure elements in a give cross-section have varying size, such as varying microstructure diameter. In this case, the parameters “pitch”, “microstructure diameter” and thus “relative size of microstructure elements” may be taken as an average of the parameters for all the microstructure elements in the cross-section, as an average of the parameters for the two or three rings of microstructure elements closest to the core of the optical fiber, or as the parameters of the row of microstructure elements closest to the core of the optical fiber. Preferably the microstructure elements of the first cladding region are arranged in a hexagonal pattern forming a number of rings surrounding the core. Optionally the microstructured optical fiber comprises one or more additional cladding regions surrounding the first cladding region wherein the one or more additional cladding regions optionally comprises microstructure elements e.g. arranged in a hexagonal pattern. The term “zero-dispersion wavelength” is taken to mean a wavelength where the dispersion of the optical fiber changes from negative to positive or from positive to negative when comparing two wavelengths close to the zero dispersion wavelength ZDW, such as within 10 nm of the ZDW, such as within 5 nm of the ZDW, such as within 1 nm of the ZDW, such as within 0.5 nm, such as within 0.1 nm, such as within 0.01 nm. It is customary in the literature to call the dispersion normal when it is negative and anomalous when it is positive; this terminology is adopted here. The above identified article by J. C. Travers discusses the effect of “soliton trapping”. In section 2.5 of the article, it is stated: “ . . . , the expansion of the supercontinuum to shorter wavelengths in the normal dispersion region [ . . . ] is limited by the fibre dispersion and pump wavelength. Further expansion requires a different mechanism. Over the last few years the dominant process for such expansion has become known as soliton trapping of dispersive waves [ . . . ]. This process begins when a suitably intense soliton temporally overlaps with a dispersive wave with the same group velocity, but in the normal dispersion region. In this case, intra-pulse four-wave mixing [ . . . ] can cause the dispersive wave to slightly blueshift and the soliton to slightly redshift. But note that there is no net energy transfer between the two. With normal dispersion a blueshift leads to a deceleration for the dispersive wave, and so it slows with respect to the soliton. The soliton, however, will also redshift due to the Raman-soliton self-frequency shift, and as it is in the anomalous region it will also decelerate. Eventually, it will decelerate enough to overlap again with the dispersive wave, and the process will repeat. It will eventually be limited, usually by a second zero-dispersion wavelength, preventing the soliton from further deceleration or simply extremely high losses on the long wavelength edge preventing further soliton redshift.” Thus, as solitons shift to longer wavelengths, the coupled dispersive wave is shifted to shorter wavelengths as dictated by the group velocity matching condition. In the context of the present invention, the phrase “supercontinuum” refers to a spectrally broad signal. The supercontinuum is said to have a “blue edge” defining the lower boundary of the spectrum and a “red edge” defining the upper boundary of the spectrum. In a silica optical fiber, the blue edge may be at a wavelength in the range of 300 nm to 600 nm, and the red edge may be at a wavelength in the range of 1300 nm to 2400 nm, such as in the range of 1600 nm to 2400 nm. The spectral width of the supercontinuum is usually defined as the difference between the wavelength of the red and blue edges. Where the second zero dispersion wavelength is larger than about 2400 nm, the second zero dispersion wavelength does not have influence on the blue edge, in that redshifting of solitons is limited by losses in the fiber, preventing further soliton redshift. It is well-known that the microstructures of a PCF manipulates the properties of light passing through the fiber and provides a tremendous degree of design freedom, i.a. moving the ZDW of the fiber into the visible spectrum. FIG. 1 corresponds to FIG. 2b of “Optimum PCF tapers for blue-enhanced supercontinuum sources” by U. Møller et al, Optical Fiber Technology 18, 2012, pages 304-314, and shows dispersion profiles of three fibers having different pitch, but constant relative size of microstructure elements, viz. hole-to-pitch ratio of d/Λ=0.52. The fiber is a commercially available fiber (SC-5.0-1040, NKT Photonics A/S). The inset in FIG. 1 is a microscope image of the fiber cross-section. From FIG. 1 it may be seen that the fiber having pitch 3.3 μm has a first zero dispersion wavelength of about 1050 nm, the fiber having a pitch of 2.5 μm has a first zero dispersion wavelength of about 1000 nm and the fiber having a pitch of 3.3 μm has a zero dispersion wavelength below 900 nm. The two fibers having pitch 3.3 μm and 2.5 μm do not have a second zero dispersion wavelength below 2400 nm; however, the fiber having pitch 1.7 μm has a second zero dispersion wavelength of about 1800 nm. The blue edge pitch Λblue can be determined by experiments or it may be calculated e.g. as described by Simon Toft Soerensen in “Deep-blue supercontinuum light sources based on tapered photonic crystal fibers, PhD Thesis, Technical University of Denmark, June 2013. Calculations of the blue edge wavelength for a given pitch and relative size d/Λ of microstructure elements, viz. hole-to-pitch ratio, is also described in the review article “Blue extension of optical fiber supercontinuum generation” by J. C. Travers, J. Opt. 12 (2010). In the review article by J. C. Travers the blue edge is defined as the shortest group-velocity matched wavelength. J. C. Travers found that the optimum pitch for large hole-to-pitch ratios was about 2 μm almost independently of the hole-to-pitch ratio. FIG. 2 corresponds to FIG. 5 of “Optimum PCF tapers for blue-enhanced supercontinuum sources” by U. Møller et al, Optical Fiber Technology 18, 2012, pages 304-314, and shows the blue edge wavelength Λblue as a function of pitch Λ assuming group-velocity matching to a loss edge of 2300 nm or 2400 nm. The difference in the maximum blueshift of dispersive waves from a soliton reaching 2400 nm and a soliton reaching 2300 nm is illustrated for a fixed hole-to-pitch ratio of 0.52. It may be seen from FIG. 2 that for group-velocity matching to a loss edge of 2300 nm, the minimum blue edge wavelength is about 465 nm, obtainable at a pitch of about 2.6 μm. FIG. 2 also shows that for group-velocity matching to a loss edge of 2400 nm, the minimum blue edge wavelength is about 475 nm, obtainable at a pitch of about 2.5 μm. If the pitch of the fiber is reduced from the pitch given the smallest blue edge wavelength Λblue, the blue edge wavelength Λblue is increased. The review article “Blue extension of optical fiber supercontinuum generation” by J. C. Travers, J. Opt. 12 (2010) describes the relation between the shortest group velocity matched wavelength as a function of the pitch Λ and the relative hole size, viz. the hole diameter to pitch ratio, d/Λ. It is shown that a pitch Λ of around 2 μm gives the shortest matched wavelength almost independently of d/Λ. Moreover, the larger the pitch ratio d/Λ, the shorter the group velocity matched wavelength becomes. See for example FIG. 17 of the review article by Travers. As mentioned above, the article “Control of pulse-to-pulse fluctuations in visible supercontinuum”, by A. Kudlinski et al. in “Optics Express, 20 Dec. 2010, Vol. 18, No. 26 relates to tapering of fibers in order to move the noise to lower wavelengths of the spectrum. FIG. 3 is a copy of FIG. 3 of this article by Kudlinski, and shows power and noise as a function of the wavelength of a supercontinuum spectrum obtained from a microstructured optical fiber tapered down (shown in the lower figure by squares) in order to move the noise as compared to a uniform fiber (shown in the lower figure by circles). The noise spectra and the power spectra show that for a uniform fiber, the blue edge of the spectrum is at about 500 nm, and that the noise is substantially higher for the uniform fiber at wavelengths between 500 nm and 700 nm. However, for wavelengths between about 700 nm and about 1500 nm, the noise is substantially equal for the tapered and the uniform fiber. Thus, Kudlinski does not teach how to lower the noise for a large part of the supercontinuum spectrum, only for the wavelength range between about 500 nm and 700 nm. The inventors have realized that providing the microstructured optical fiber such that the second cross-section has a pitch that is smaller than the blue edge pitch Λblue and such that the relative size d1/Λ1 of microstructure elements in the first cross-section is 0.75 or less actually reduces the noise over a large wavelength range instead of just moving the noise to smaller wavelengths. In an embodiment, the second zero dispersion wavelength ZDW22 in the second cross-section of the microstructured optical fiber is less than up to about 2000 nm, such as less than up to about 1800 nm, such as less than up to about 1600 nm, such as less than up to about 1400 nm, such as less than up to about 1200 nm. The design of a fiber in order to achieve such a second zero dispersion wavelength is well-known, as described by U. Møller and J. C. Travers, and may be achieved by down-tapering, choice of pitch, etc. Having the second zero dispersion wavelength ZDW2 at about 2200 nm or less i.e. well below 2400 nm means that the second zero dispersion wavelength, as opposed to the loss edge wavelength, limits the redshifting solitons, which then again limits the blueshift of the group-velocity matched dispersive waves. In an embodiment, the microstructured optical fiber in the second section has a group velocity matched wavelength GVMW2 corresponding to the second zero dispersion wavelength ZDW22, where the group velocity match wavelength GWMW2 is a wavelength shorter than the second zero dispersion wavelength ZDW22 having a group index corresponding to the group index of the light at the zero dispersion wavelength ZDW22, and wherein the group velocity matched wavelength GVMW2 is in the range from about 650 nm to about 800 nm. A group velocity matched wavelength GVMW2 in the range from about 650 nm to 800 nm will provide low noise at least in the spectrum from about 680 nm to about 920 nm. These absolute values of the group velocity matched wavelengths are only examples. In general, if a supercontinuum spectrum having low noise in a specific wavelength range from X to Y is desirable, the optical fiber of the supercontinuum source should be designed so that the group velocity matched wavelength corresponding to the second zero dispersion wavelength lies in the range between X or a wavelength that is slightly smaller than X and a wavelength of about (X+Y)/2, viz. around the wavelength in the middle of the interval from X to Y. In an embodiment, the second length L2 of the optical fiber is larger than about 1 m, such as larger than about 3 m, such as larger than about 5 m, such as larger than about 10 m. However, it has been shown that the second length L2 in the case of a tapered fiber may be quite short, such as about 0.5 m or even down to about 20 or 10 cm. In an embodiment, the sum of the first and second lengths of the microstructured fiber is about 50 m or shorter, such as about 30 m or shorter, such as about 20 m or shorter, such as about 10 m or shorter. These examples of the sum of the first and second lengths of the microstructured fiber all provide a broad supercontinuum light, when pump light in the range between about 1000 nm and about 1100 nm is fed into the fiber. In an embodiment, the second relative size d2/Λ2 of the microstructure elements of the microstructured optical fiber is about 0.75 or less, such as about 0.65 or less, such as about 0.55 or less, such as about 0.5 or less, such as about 0.4 or less. In this embodiment, the second relative size d2/Λ2 of the microstructure elements, in the second section of the fiber, is similar to or less than the first relative size d1/Λ1 of the microstructure elements in the first section of the fiber. In an embodiment, the second relative size d2/Λ2 of the microstructure elements in the second section of the microstructured optical fiber is smaller than first relative size d1/Λ1 of the microstructure elements in the first section of the microstructured optical fiber. This is particularly relevant for optical fibers, where the first and second sections are spliced together. In this case a smaller relative size of microstructure elements in the second section combined with an only somewhat smaller absolute decrease in pitch in the second section compared to the first section has turned out to give good results in the form of small splicing losses. In an embodiment, the second relative size d2/Λ2 of the microstructure elements in the second section of the microstructured optical fiber is substantially equal to the first relative size d1/Λ1 of the microstructure elements in the first section of the microstructured optical fiber. When the first and second sections of the fiber are connected via a tapered section, it is possible to choose whether to keep the relative size of microstructure elements constant throughout the fiber sections or whether to vary the relative size throughout the fiber sections. Such tapers with relative size of microstructure elements constant are inter alia described in the international patent application WO2012028152. In an embodiment, the first pitch Λ1 is about 1.9 μm or larger, such as about 2.2 μm or larger, such as about 2.5 μm or larger, such as about 2.8 μm or larger, such as about 3.1 μm or larger, such as about 3.4 μm or larger, such as about 3.7 μm or larger. In an embodiment the second pitch Λ2 of the microstructured optical fiber is about 0.9 times the blue edge pitch Λblue or smaller, such as about 0.8 times the blue edge pitch Λblue or smaller, such as about 0.7 times the blue edge pitch Λblue or smaller, about 0.6 times the blue edge pitch Λblue or smaller. These small values of the second pitch provide a fiber that is able to generate a supercontinuum spectrum with low noise in a large part of the visible spectrum. In an embodiment, the microstructured optical fiber further comprises a first tapered section with length Lt1, wherein the tapered section connects the first section and the second section. In an embodiment, the first and second sections are substantially straight sections of fiber, where the fiber parameters in the first section are uniform, and the fiber parameters in the second section are uniform, whilst at least one or more of the fiber parameters differ between the first and second section. The term “fiber parameters” are meant to cover the pitch, the relative size of microstructure elements, the second zero dispersion wavelength. The tapered section has varying fiber parameters throughout at least a part of the section and connects the first and second sections. The international patent application WO2012028152 mentioned above describes how to provide a microstructured optical fiber with one or more tapered sections. A microstructured optical fiber with a first and second section connected via a tapered section may be manufactured as one fiber in a drawing tower. Thus, a fiber with varying fiber parameters may be obtained without splicing, and thus without occurrence of splicing losses. In an embodiment, the first zero dispersion wavelength ZDW21 of the second section of the optical fiber is less than about 1000 nm, such as less than about 900 nm. For efficient visible supercontinuum generation it is of great importance that the pump wavelength is close to the first zero dispersion wavelength. When the first zero dispersion wavelength is less than about 1000 nm, a commercially available laser source, e.g. ytterbium (1064 nm), may be used as a pump source for generating supercontinuum generation. In an embodiment, wherein the length of the tapered section Lt1 is about 0.1 m or larger, such as about 0.2 m or larger, such as about 0.5 m or larger, such as about 1 m or larger, such as about 1.5 m or larger, such as 2 m or larger, such as 5 m or larger, such as 10 m or larger. It is advantageous to manufacture the optical fiber in a drawing tower. In a drawing tower these lengths of tapered section may be provided. In the case where the microstructured optical fiber comprises a tapered section connecting the first and the second section, the first length L1 is less than 0.5 m, such as less than 0.4 m, such as less than 0.3 m, such as less than 0.2 m, such as less than about 0.1 m. It has turned out that these lengths of a first section in an optical fiber having a tapered section provide a reduced noise compared to a uniform fiber having the dimensions of the first section. In an embodiment, the second pitch Λ2 is smaller than the first pitch Λ1. As an example the relative size of the microstructure elements are the same in the first and the second section of the fiber, whilst the second pitch Λ2 is smaller than the first pitch Λ1. This may be obtained in during manufacture of the optical fiber in a drawing tower. In an embodiment the second pitch Λ2 of the second section is in the range from about 1.1 μm to about 1.7 μm, such as in the range from about 1.3 μm to about 1.5 μm. This range of pitches combined with an indication of the relative size of the microstructure elements corresponds to a given range of group velocity matched wavelengths corresponding to the second zero dispersion wavelength as shown in FIG. 5. This range is particularly relevant for a fiber having a second relative size of microstructure element of about 0.52. A given value of a group velocity matched wavelength corresponds to a given range of wavelengths in the incoherent supercontinuum spectrum obtainable by the optical fiber, where the noise in the given range is reduced. In an embodiment, the microstructured optical fiber further comprises a second tapered section L1e and a third section with third length L3, a third pitch Λ3, a third microstructure diameter d3 and a third relative size d3/Λ3 of microstructure elements. The third pitch Λ3 is larger than the second pitch Λ2. In an embodiment, the fiber thus contains the first length section, a first tapered section, a second length section, a second tapered section, and a third length section. When the second length section has reduced dimensions in the form of reduced size of the core, reduced size of the cladding and/or reduced outer dimensions, it is advantageous to have a second tapering section and a third length section with larger dimensions than the second length section, in order to handle and/or connect the fiber to further equipment or another fiber. In an embodiment, a cross-section through the first tapered section perpendicularly to the longitudinal axis of the fiber comprises microstructures at a first tapered pitch Λt1, a first tapered microstructure diameter dt1 and a first tapered relative size dt1/Λt1 of microstructure elements, wherein the first tapered section of the optical fiber has a taper group velocity matched wavelength GVMWt1 corresponding to a second zero dispersion wavelength ZDWt1 in the cross-section, where the taper group velocity matched wavelength GVMWt1 is in the range from about 400 nm to about 500 nm for any cross-section of the first tapered section. When the group velocity matched wavelength stays within the range from about 400 nm to about 500 nm for all cross-sections through the first tapered section, it is possible to obtain a supercontinuum spectrum having a power of at least 2 mW per nm at most wavelengths in the visible spectrum, down to at least about 400-430 nm. In a microstructured optical fiber wherein the second section is tapered, the above range for the group velocity matched wavelength may be relevant for any part of the second section. In an embodiment, the first relative size d1/Λ1 of microstructure elements is about 0.55 or larger, such as about 0.65 or larger, such as about 0.75. These dimensions of the first relative size of microstructure elements are in particular advantageous when the microstructured optical fiber comprises a tapered section. In an embodiment, the first section of the microstructured optical fiber is coupled to the second section by a splicing. This is an alternative to tapering the fiber from the dimensions of the first section to the dimensions of the second section, or vice versa. In the context of the present invention, such a fiber, where a first and second section with differing dimensions are spliced together, is denoted “a cascaded fiber”. In an embodiment the first section of the microstructured optical fiber is coupled to the second section by a splicing while one or both of the first section second section comprises a tapered section or sub-section. In an embodiment of the microstructured optical fiber, the first zero dispersion wavelength ZDW21 of the second section is less than about 1100 nm. For example it is about 1060 nm. In an embodiment, the first relative size d1/Λ1 of the microstructure elements is larger than the second relative size of the microstructure elements d2/Λ2, such as about 1.2 times the second relative size d2/Λ2 of the microstructure elements or larger, such as about 1.3 times the second relative size d2/Λ2 of the microstructure elements or larger, such as about 1.4 times the second relative size d2/Λ2 of the microstructure elements or larger, such as about 1.5 times the second relative size d2/Λ2 of the microstructure elements. In an embodiment where the microstructured optical fiber is a spliced fiber comprising a first section, e.g. a first uniform section with substantially constant dimensions, and a second section, e.g. a second uniform section with substantially constant dimensions, where the outer dimensions of the second section are smaller than those of the first section, it is advantageous that the second relative size of microstructure elements is larger than the first relative size of microstructure elements due to reduced splicing losses. When the second relative size of microstructure elements is larger than the first relative size of microstructure elements, the area of the core in the second section is also larger than it would have been if the relative size of the microstructure elements was similar in the first and second section of the fiber. This provides a larger mode field diameter of the light in the second section compared to the mode filed diameter in the case where the relative size of microstructure elements was similar in the first and second section. This leads to a reduction in splicing loss between the first and second section, compared to the situation where the relative size of microstructure elements was similar in the first and second section. In an embodiment, the second pitch Λ2 is at about 2 μm or larger, such as at about 2.3 μm or larger, such as at about 2.6 μm or larger, such as at about 3 μm or larger. These pitch values provide a relatively large mode field diameter within the fiber in particular where the second pitch is smaller than the blue edge pitch Λblue. Therefore, splicing losses between the first and second section are reduced compared to a second section with a smaller second pitch. In an embodiment, the first length L1 of the optical fiber is in the range from about 1 m to about 5 m or less, such as in the range from about 2 m to about 4 m. In the case where the microstructured optical fiber is a cascaded fiber, where the first and second sections are spliced together, L1 is advantageously between about 2 meter and about 4 meter. As mentioned above, the invention also relates to an incoherent supercontinuum source comprising a microstructured optical fiber for generating incoherent supercontinuum light upon feeding of pump light. The microstructured optical fiber has a length and a longitudinal axis along its length. Moreover, the microstructured optical fiber comprises, along its length, a core region that is capable of guiding light along the length of the microstructured optical fiber, and a first cladding region surrounding the core region. The first cladding region comprising a microstructure has a plurality of microstructure elements. The incoherent supercontinuum source further comprises a pump source with a center wavelength between about 1000 nm and about 1100 nm and a pulse length of longer than about 500 fs. Along its length the microstructured optical fiber comprises a first section with a first length L1. At least at a first cross-section through the first section perpendicularly to the longitudinal axis the microstructure elements of the optical fiber has a first pitch Λ1, a first microstructure diameter d1 and a first relative size d1/Λ1 of microstructure elements. The microstructured optical fiber has at least in the first cross-section a first zero dispersion wavelength ZDW11 in the range from about 920 to about 1120 nm. The microstructured optical fiber further comprises a second section with a second length L2. At least at a second cross-section through the second section perpendicularly to the longitudinal axis the microstructure elements of the optical fiber has a second pitch Λ2, a second microstructure diameter d2 and a second relative size d2/Λ2 of microstructure elements. The microstructured optical fiber at least in the second cross-section through the microstructured optical fiber has a first zero dispersion wavelength ZDW21 and a second zero dispersion wavelength ZDW22, where the second zero dispersion wavelength is about 2400 nm or shorter. The sum of the first length L1 and the second length L2 is about 1 meter or larger, such as 3 meter or larger, such as 5 meter or larger, such as 10 meter or larger. The relative size d1/Λ1 of microstructure elements in the first cross-section is about 0.75 or less, such as 0.6 or less, such as 0.55 or less. Preferably the second pitch Λ2 is smaller than a blue edge pitch Λblue, wherein the blue edge pitch Λblue is defined as a specific pitch giving the shortest possible blue edge wavelength of the supercontinuum light for the second relative size d2/Λ2 of microstructure elements. Advantageously the optical fiber of the incoherent supercontinuum light source is as described in one or more of the above embodiments. In an embodiment the pulse duration Δt of the pump light source is more than about 1 ps, such as more than about 5 ps, such as more than about 10 ps, such as more than about 50 ps, such as more than about 100 ps, such as more than about 500 ps, such as more than about 1 ns, such as more than about 2 ns, such as more than about 5 ns, such as more than about 10 ns, such as more than about 50 ns, such as more than about 1 ms. The output pulse length is controllable by controlling the pump characteristics, i.a. the pump pulse length. In an embodiment the pulse duration Δt of the pump light source is less than about 1 ms, such as less than about 50 ns, such as less than about 10 ns, such as less than about 5 ns, such as less than about 2 ns, such as less than about 1 ns, such as less than about 500 ps, such as less than about 100 ps, such as less than about 50 ps, such as less than about 10 ps, such as less than about 5 ps, such as less than about 1 ps. It is well-known to the skilled person that the output pulse length of a supercontinuum light source is controllable by controlling the characteristics of the light fed into the optical fiber, i.e. by controlling the pump pulse characteristics. In an embodiment the pump light source comprises a mode-locked laser and at least one amplifier, and the supercontinuum light source has an output spliced onto the input end of the optical fiber. In this embodiment, the connection feeding light from the pump light source to the optical fiber does not comprise any free space couplings. Advantageously the number of splicings and/or intermediate fibers is reduced, which makes it possible to produce the optical fiber faster compared to an optical fiber with more splicings. This embodiment is in particular suitable for systems with picosecond pulses having one or more amplifier. In an embodiment, the pump source of the incoherent supercontinuum source is a seed laser arranged to provide seed pulses with a seed pulse frequency Fseed. The supercontinuum source further comprises a pulse frequency multiplier (PFM) arranged to multiply the seed pulses and convert the seed pulse frequency Fseed to pump pulses with a pump pulse frequency Fpump, where the pump pulse frequency Fpump is larger than the seed pulse frequency Fseed. The pulse frequency multiplier is advantageous in that it provides an increase in average optical power relative to the configuration where the pulse frequency multiplier is omitted because the repetition rate of the pump pulses is increased while the peak power and pulse duration is substantially constant. In an embodiment, the supercontinuum light source is used for at least one of the following applications: photoacoustic measurements, multi-spectral imaging, LIDAR (Light Detection and Ranging), STED (Stimulated Emission Depletion), fluroresecence lifetime imaging (FLIM) or optical coherence tomography (OCT). The supercontinuum light source has shown to be surprisingly suitable for use in optical coherence tomography (OCT). This is due to the high stability and very low noise over a broad spectral range. In an embodiment the supercontinuum light source is used in spectral domain OCT. The invention also relates to an optical coherence tomography (OCT) acquisition system comprising a supercontinuum light source as described above and a detector for collecting reflected light and an image processor for analyzing the detected reflected light. The OTC acquisition system advantageously comprises one or more spectral filters for selecting a suitable spectral part of a light pulse to be applied in a given analysis. In an embodiment the selected bandwidth of the acquisition system is such as more than 100 nm, such as more than 200 nm, such as more than 300 nm, such as more than 400 nm or such as more than 500 nm. In an embodiment the central wavelength of the acquisition system is such as between 750 and 850 nm, such as between 1000 and 1100 nm, or such as between 1200 and 1400 nm. In an embodiment the acquisition system is configured to determine a wavefront aberration in a coherent signal to thereby provide a wavefront sensor. Thereby the OTC acquisition system is in particular suitable for use in laser eye surgery in particular for ensuring reduced risk of damage to the retina and optic nerve. The invention also comprises a method of performing laser eye surgery of an eye comprising a cornea using the OTC acquisition system described above. Advantageously the laser eye surgery is LASIK (Laser Assisted in situ Keratomileusis). A LASIK surgery is usually performed by an ophthalmologist who uses a laser to reshape the eye's cornea in order to improve visual acuity. The method of the invention comprises providing a topographic map of the cornea using an optical coherence tomography (OCT) acquisition system as described above and applying the topographic map for determining the amount and the location of corneal tissue to be removed. The method advantageously comprises cutting through the corneal epithelium and Bowman's layer of the eye and creating a flap using a laser e.g. comprising a supercontinuum source as described above. The laser may e.g. be applied to create a series of tiny closely arranged bubbles within the cornea while leaving a hinge at one end of this flap. The flap is folded back, revealing the stroma (the middle section of the cornea). The second step of the procedure uses advantageously an excimer laser (e.g. about 193 nm) or another UV laser to remodel the corneal stroma. The laser vaporizes the tissue in a finely controlled manner without damaging the adjacent stroma. The excimer laser advantageously uses an eye tracking system based on the topographic map or tracked by the OTC acquisition system arranged to follow the patient's eye position during the surgery e.g. several hundred or thousands time per second and which is redirecting laser pulses for precise placement within the treatment zone. Typical pulses are around 1 milijoule (mJ) of pulse energy in 10 to 20 nanoseconds. After the laser has reshaped the stromal layer, the LASIK flap is carefully repositioned over the treatment area by the surgeon and checked for the presence of air bubbles, debris, and proper fit on the eye. The flap remains in position by natural adhesion until healing is completed. BRIEF DESCRIPTION OF DRAWINGS The invention will be explained in more detail below in connection with embodiments and with reference to the drawings in which: FIG. 1 shows dispersion profiles of three fibers having different pitch, but constant hole-to-pitch ratio of d/Λ=0.52; FIG. 2 shows the blue edge wavelength as a function of pitch for group-velocity matching to a loss edge of 2300 nm or 2400 nm; FIG. 3 shows power and noise as a function of the wavelength of a supercontinuum spectrum; FIG. 4a shows a picture of a cross-section of a microstructured fiber, perpendicular to the longitudinal axis; FIG. 4b shows part of a cross-section of a microstructured fiber, perpendicular to the longitudinal axis; FIG. 5 shows the group velocity matched wavelength corresponding to the second zero dispersion wavelength as a function of pitch for four fibers with relative size of microstructure elements of 0.34, 0.52, 0.61 and 0.8; FIGS. 6a and 6b show systems for narrow band and wide band spectral noise measurement, respectively. FIG. 7a shows a schematic drawing of an embodiment of a microstructured optical fiber according to the invention; FIGS. 7b and 7c show cross-sections of a microstructured optical fiber, perpendicular to the longitudinal axis, at a first and second fiber length section, respectively; FIG. 8 shows supercontinuum spectra obtained from an embodiment of a microstructured optical fiber according to the invention, comprising a tapered section. FIGS. 9 and 10 show noise spectra as a function of wavelength for an embodiment of an optical fiber according to the invention as compared to a uniform fiber. FIG. 11 shows a graph of the average noise for amplification levels between 20% and 100% of an incoherent supercontinuum source comprising an embodiment of an optical fiber according to the invention, as well as for a prior art optical fiber. FIG. 12 shows broad band noise spectra as a function of wavelength for an embodiment of a tapered optical fiber according to the invention and from a uniform fiber; FIGS. 13a, 13b and 13c show a cascaded optical fiber according to an embodiment of the invention and cross-sections through a first and second section thereof. FIG. 14 shows graphs of the noise of a cascaded optical fiber for different lengths of the first section; FIG. 15 shows supercontinuum spectra obtained from another embodiment of a microstructured optical fiber according to the invention, comprising a cascade, and from a uniform fiber; FIG. 16 shows noise spectra as a function of wavelength for an embodiment of a cascaded optical fiber according to the invention as compared to a uniform fiber; FIG. 17 shows a noise spectrum for amplification levels between 20% and 100% of an incoherent supercontinuum source comprising an embodiment of a cascaded optical fiber according to the invention as well as for a prior art optical fiber; FIG. 18 shows supercontinuum spectra obtained by four different optical fibers, and FIG. 19 is a schematic drawing of a supercontinuum light source comprising a microstructured optical fiber and a pump light source. The figures are schematic and are simplified for clarity. Throughout the description, the same reference numerals are used for identical or corresponding parts. Further scope of applicability of the present invention will become apparent from the detailed description given hereinafter. However, it should be understood that the detailed description and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modifications within the spirit and scope of the invention will become apparent to those skilled in the art from this detailed description. The invention is defined by the features of the independent claim(s). Preferred embodiments are defined in the dependent claims. Any reference numerals in the claims are intended to be non-limiting for their scope. Some preferred embodiments have been described in the foregoing, but it should be stressed that the invention is not limited to these, but may be embodied in other ways within the subject-matter defined in the following claims. FIG. 4a shows a picture of a cross-section of a microstructured fiber 50, perpendicular to the longitudinal axis. The fiber is a microstructured fiber comprising a core region 52 and a cladding region 53, the cladding region surrounding the core region. The cladding region comprises an inner cladding background or base material in which microstructure elements 59 in the form of low-index cladding features are provided. The microstructure elements 59 shown are features in the form of holes or voids extending in the longitudinal direction of the fiber, and an. The core region 52 comprises a refractive index profile such that the core region comprises material with a refractive index score being different from the refractive index of a material in the inner cladding region. In order to tune various properties of the optical fiber it may be preferred to have a special refractive index profile of the core region. The region A denotes an area of the fiber to be shown enlarged in FIG. 4b. FIG. 4b shows part of a cross-section of a microstructured fiber, perpendicular to the longitudinal axis, corresponding to an enlargement of the square region denoted A in FIG. 4a. In FIG. 4b is shown the core area or core region 52 as defined as the area of a circle inscribed by the microstructure elements of the cladding arranged to immediately surround the core 52. The circle has characteristic core diameter W being the diameter of the largest circle that may be inscribed within the core without interfering with any microstructure elements or cladding features of the fiber, in a cross-section through the fiber perpendicularly to the longitudinal axis thereof. The cladding comprises a microstructure with a plurality of microstructure elements or cladding features each having a microstructure element diameter df. The microstructure elements are arranged at a pitch Λ, where the pitch Λ is a measure of the spacing between the microstructure elements. As shown in FIG. 4b the pitch A is indicated as the distance between the centers of two adjacent microstructure elements. FIG. 5 shows the group velocity matched wavelength corresponding to the second zero dispersion as a function of pitch for four fibers with relative size d/Λ of microstructure elements of 0.34, 0.52, 0.61 and 0.8. In prior art it has been shown that a tapered fiber with very large relative hole size, viz. a very large relative size of microstructure elements, can be used to obtain supercontinuum extending down to very short wavelengths. This is exemplified by the curve of an optical fiber with relative size d/Λ of 0.8 (not a part of the present invention). The curve shows a minimum GVMW of around 360 nm for a pitch Λ=2.0 μm, which is in agreement with the prior findings of the above referenced articles by Kudlinksi, Travers and Møller. As it can be seen in FIG. 5 the blue edge pitch is the pitch where the curve has a minimum. Decreasing the relative size of microstructure elements provides a decrease in minimum GVMW. For a relative size of microstructure elements d/Λ=0.61, the minimum GVMW is 430 nm and is obtained at a pitch Λ=2.4 μm. It is noticed that for a relative size of microstructure elements d/Λ=0.61, the GVMW is below 500 nm for all pitches between 1.5 and 4.0 μm. Hence if a fiber with d/Λ=0.61 is tapered down whilst keeping the relative size of microstructure elements constant, then the GVMW will be below 500 nm for all cross sections in the taper. Thus, in an example a tapered fiber with a relative size of microstructure elements of around d/Λ=0.61 can lead to a supercontinuum having a broad wavelength peak below 500 nm, as will be further explained in relation to FIG. 18. In an embodiment of the invention an incoherent supercontinuum source having low noise from 680 nm to 920 nm is obtained. In this embodiment the second section of the fiber has a group velocity matched wavelength GVMW2 in the range from about 650 nm to 800 nm. For a relative size of microstructure elements of d/Λ=0.52, FIG. 5 shows that this requires the pitch to be A<1.5 μm. A tapered fiber having a constant relative size microstructure elements of d/Λ=0.52 is further described in relation to FIGS. 7 to 12. If the relative size of microstructure elements is d/Λ=0.34, the requirement on the pitch changes to be A<2.5 μm, corresponding to a mode field diameter of around 3.5 μm at 1064 nm. This enables low loss splicing to a standard supercontinuum fiber as e.g. SC-3.7-975 from NKT Photonics as will be further described below in relation to FIGS. 13 to 17. FIGS. 6a and 6b show systems for narrow band and wide band spectral noise measurement, respectively. The noise of an optical spectrum may be measured in a number of different ways depending on the frequency range and spectral resolution. The applications requiring low spectral noise are typically divided into two categories: narrow spectral resolution of 0.1 nm or less, which is typically required for applications such as spectral domain optical coherence tomography, and large spectral resolution of 10 nm or more for applications where the detailed spectral information is not required. The actual noise performance is quite different for the two ranges of spectral resolution, and two different methods have therefore been applied to characterize the noise, viz. a narrow band spectral noise measurement (illustrated in FIG. 6a) and a broad band spectral noise measurement (illustrated in FIG. 6b). FIG. 6a shows a system for narrow band spectral noise measurement (<0.1 nm). For the narrow band spectral noise characterization a high resolution, high speed spectrometer is used to perform the measurements. The system shown in FIG. 6a comprises a light source 1 under investigation, a single-mode coupling unit 2, and a high resolution spectrometer 3 or narrow band spectrometer. The spectrometer 3 includes a high speed line camera for fast acquisition of the spectral data (typical line rates are between 10 and 140 kHz, with 1024 to 8096 pixels per spectrum). The coupling unit 2 includes means of signal power attenuation and band pass filtering that is matched to the spectral range of the spectrometer 3 in order to avoid ghosting effects. The spectrometer 3 is adjusted to a specific integration time and line scan rate. The photons from the light source 1 are detected on the camera for the duration specified by the integration time and are subsequently read out. For a pulsed source this means that the number of pulses collected per spectrum is determined by the integration time multiplied by the pulse frequency of the source (e.g. 10 ρs×80 MHz=800 pulses), and the measured spectrum is the sum of these pulses. The spectral noise is in the context of this text defined as the relative standard deviation of the individual spectral bin (i.e. defined by the spectral resolution) over time. The standard deviation is calculated over a number of spectra—typically the spectrometer reads out a number of spectra (e.g. 500 or 1000) in a frame. As the result is a statistical value, it may be relevant to perform a number of such measurements to get a value with sufficiently high confidence. The spectral noise can be measured as a function of wavelength (which may be relevant if this is wavelength dependent) or as an average value across the spectrum. If the spectrometer noise (e.g. thermal noise, circuit noise or shot-noise) is comparable to the noise of the light source 1 at low spectrometer readings, this can have a significant impact on the measurement result, where the measured noise decreases as the power increases. In such a case it may be necessary to perform measurements at different input power levels by stepwise changing the attenuation from the light source to the spectrometer in order to ensure that the weaker parts of the spectrum are not significantly influenced by the spectrometer noise (similar to high dynamic range imaging). FIG. 6b shows a system for broad band or wide band spectral noise measurements (>10 nm). For wide band spectral noise characterization of a pulsed light source 1, the relative standard deviation of the individual pulse energy is measured in the relevant spectral width. The measurement system comprises a light source 1 under investigation, an attenuation and wide band spectral filtering unit 4, a fast optical detector 5, and a high speed digital oscilloscope 6. The electrical bandwidth of the detector and the oscilloscope must be much larger that the frequency of the light source to avoid crosstalk from one pulse to the next. For pulses with durations much shorter than the response time of the electronic detection system, the detected pulse amplitude will be proportional to the energy of the detected pulse. Hence, to evaluate the statistics of the pulse energy it is sufficient to measure the statistics of the amplitudes of the detected signals. This may be performed by using internal oscilloscope analysis functions which determine the peak amplitude of each pulse over a certain measurement ensemble (typical 1000 individual pulse traces) and calculate the average and standard deviation of this parameter. The measurement is repeated at different source power levels to determine the power dependence of the noise and using band pass filters with different center wavelengths to determine the wavelength dependence. FIG. 7a shows a schematic drawing of an embodiment of a microstructured optical fiber 10 according to the invention, and FIGS. 7b and 7c show cross-sections of the microstructured fiber, perpendicular to the longitudinal axis, at a first and second fiber length section, respectively. The microstructured optical fiber is arranged for generating supercontinuum light upon feeding of light having a first wavelength λ1 from about 900 nm to about 1100 nm, such as between 1000 nm and 1100 nm, for instance 1064 nm. The optical fiber 10 has a length and a longitudinal axis along its length and comprises a core region for guiding light along the length of the optical fiber, and a first cladding region surrounding the core region. The optical fiber 10, along its length, comprises a first fiber length section 12, a second fiber length section 14 as well as a tapered section 13 between the first and second fiber length sections 12, 14. The optical fiber 10 optionally includes an end cap 8. The extension of the end cap length 8 in the longitudinal axis of the fiber 10 is of a magnitude of 100 μm, e.g. 200 μm, whilst the total length of microstructured fiber is e.g. several meters, for example 10 meters or 50 meter. In an embodiment the sum of the first and second lengths of the microstructured fiber 10 is such as less than about 50 m, such as less than about 30 m, such as less than about 20 m, such as less than 10 m. In an embodiment, the second length L2 is larger than about 1 m, such as larger than about 3 m, such as larger than about 5 m, such as larger than about 10 m. FIG. 7b shows a cross-section of the microstructured fiber, perpendicular to the longitudinal axis, at the first length section 12. As it can be seen the microstructure elements are arranged in a hexagonal pattern in the cladding. It is indicated that the first fiber length section 12 has a core region with a first characteristic core diameter W1 and a cladding region with a first pitch Λ1, a first microstructure diameter d1 and a first relative size of microstructure elements Λ1/d1 in a cross-section through the fiber perpendicularly to the longitudinal axis. The second fiber length section 14 has a core region with a second characteristic core diameter W2 and a cladding region with a second pitch Λ2, a second microstructure diameter d2 and a second relative size of microstructure elements Λ2/d2 in a cross-section through the fiber perpendicularly to the longitudinal axis. The second pitch Λ2 is chosen so as to be smaller than the blue edge pitch Λpitch. The first pitch Λ1 is larger than the second pitch Λ2. The first relative size of microstructure elements Λ1/d1 is about 0.75 or less, such as about 0.65 or less, such as about 0.55 or less. The second relative size of microstructure elements Λ2/d2 is equal to or less than the first relative size of microstructure elements Λ1/d1. The tapered section 13 of the optical fiber 10 comprises a core region and cladding, which is tapered from parameters of the first section to the parameters of the second section over a length Lt1 of the tapered section The length Lt1 of the tapered section is at least about 0.1 m, such as least about 0.2 m, such as at least about 0.5 m, such as at least about 1 m, such as at least about 1.5 m, such as at least 2 m, such as at least 5 m, such as at least 10 m. It may be seen from FIG. 7a that the tapering from the first fiber length section to the second fiber length section means a substantially monotonic decrease of the dimensions of the fiber from the first length section 12 to the second length section 14. In the first length section 12 the dimensions of the fiber, viz. the core diameter, the first pitch, the first microstructure diameter, the first relative size of microstructure elements, are substantially constant, and in the second length section 14 the second characteristic core diameter W2, the second pitch, the second microstructure diameter, and the second relative size of microstructured elements are substantially constant. In the tapering section, whilst the microstructure pitch and microstructure element diameter vary along at least a part of the intermediate length section 13 of the fiber 10. In an embodiment, the relative size of microstructure elements is be constant throughout the fiber, such that the first relative size of microstructure elements is substantially equal to the second relative size of the microstructure elements. However, in an alternative embodiment, the relative size of microstructure element varies in the tapered section, so that the first relative size of microstructure elements is different from the second relative size of the microstructure elements. FIGS. 8 to 19 shows results from measurements where a non-linear microstructured optical fiber is pumped by a pulsed laser source. The noise of the output from a uniform fiber is compared with the output from a tapered fibre and with a cascaded fiber, viz. a fiber where two different microstructured optical fibers have been spliced together. In the measurements, a pump laser source was 1064 nm and the temporal duration of the pump pulses just prior to the microstructured optical fiber was 8 ps. The bandwidth of the laser pulses was 10 nm. The output power from the pump source was adjustable with the maximum power being 10 W. The maximum output power will be referred to as 100% of the maximum power, whilst a power of e.g. 5 W will be referred to as 50% of the maximum power. The output of the pulsed laser source was spliced to the input of the microstructured optical fiber being measured. The reference fiber for the measurements was a straight, uniform section of the commercial fiber SC-3.7-975 from NKT Photonics. The pitch was 2.6 μm and the relative hole size (d/Λ) was 0.52. The length of the reference fiber was 10 m. This fiber is used in NKT Photonics product series SuperK™ EXW (currently comprising the following variants: EXW-1, EXW-4, EXW-6 and EXW-12). The EXW fiber used as a reference fiber in the context of this application is the one denoted SC-3.7-975. FIG. 8 shows supercontinuum spectra obtained from a microstructured optical fiber 10 according to the invention comprising a tapered section. The optical fiber 10 is an optical fiber as the one shown in FIG. 7a. In an alternative embodiment, the optical fiber 10 does not include an end cap. The optical fiber 10 comprises a first uniform section 12 which is followed by a tapered section 13, where the tapered section 13 is followed by a second uniform section 14. The dimensions of the second uniform section 14 are smaller than those of the first uniform section 12. The second uniform section following the tapered section is also referred to as the waist of the taper. EXAMPLE 1 As one example the dimensions of the first section includes a first length L1=1 m, a first pitch Λ1=2.6 μm and a first relative size of microstructure elements d/Λ1=0.52. In Example 1, the microstructure elements are holes, and the term “relative size of microstructure elements” is thus seen as equivalent to “relative hole size”. The tapered section 13 of the optical fiber 10 has a length Lt1=5 m, and the dimensions of the second section 14 includes a second length L2=30 m, a second pitch Λ2=1.3 μm and a second relative hole size d2/Λ2=0.52. The second section has a first zero dispersion wavelength ZDW21=850 nm, a second zero dispersion wavelength ZDW22=1167 nm and a group velocity match wavelength GVM2=721 nm. FIG. 8 shows supercontinuum spectra measured from the output of the optical fiber with the above dimensions. The supercontinuum spectra are shown for powers of the pump light source of 60% and 100%. FIG. 8 shows that the spectrum extends from about 450 nm to about 1300 nm for both powers of pump light source. FIGS. 9 and 10 show narrow band noise spectra as a function of wavelength for an optical fiber having the dimensions as indicated in Example 1 (“tapered fiber”), as compared to a uniform fiber (“straight fiber”). FIG. 9 shows the noise spectrum as a function of wavelength for an optical power of the pump light source of 60%, whilst FIG. 10 shows the noise spectrum as a function of wavelength for an optical power of the pump light source of 100%. The noise spectra of FIGS. 9 and 10 are taken from the same tapered optical fiber according to an embodiment of the invention as well as from the same uniform fiber used as a reference. The narrow bandwidth noise spectra shown in FIGS. 9 and 10 were measured with a diode array spectrometer with higher resolution, as previously described. In the wavelength range from 680-920 nm, FIGS. 9 and 10 shows a reduction in noise for an embodiment of the microstructured tapered optical fiber of the invention compared to the uniform reference fiber. Only for the smallest wavelengths, the noise is higher for the tapered fiber 10 compared to the uniform reference fiber. FIG. 11 shows a graph of the average noise for amplification levels between 20% and 100% of an incoherent supercontinuum source comprising an embodiment of an optical fiber according to the invention as well as for a prior art optical fiber. In FIG. 11, a comparison of noise for tapered fiber according to Example 1 and the uniform reference fiber. In the two cases the noise is compared at identical pump power. For a given amplification level, the average noise is obtained by averaging the noise in the wavelength range from 680 to 920 nm. Thus, the graphs of FIG. 9, corresponding to the noise in the wavelength range from 680 to 920 nm result in one point in the graph of FIG. 11 for the tapered fiber and another point in the graph of FIG. 11 for the uniform reference fiber. FIG. 11 shows that the average noise in this wavelength range from 680 to 920 nm is lower for the tapered fiber according to the invention compared to the uniform reference fiber. This is observed for all power levels between 20% and 100%. Furthermore, a cut-back experiment was performed where the length of the second length was gradually reduced from 30 m. It was observed that the spectrum and noise between 680 and 920 nm were nearly independent of the length of the second section. However, when the tapered section was cut-off directly at the waist, meaning that the length of the second section is neglectable, the noise showed a small increase of a couple of percent compared to the previous level. FIG. 12 shows broad band noise spectra as a function of wavelength for an embodiment of a tapered optical fiber according to the invention and from a uniform referenced fiber. The broad band noise spectra were measured with a photo detector and band pass filters, as previously described in relation to FIG. 6b. FIG. 12 shows the comparison of the broad bandwidth noise for the uniform reference fiber and the tapered fiber of Example 1. In the two cases, the noise has been measured at identical pump power. The results are shown for a 50% power level. The results for both the tapered fiber of Example 1 and the uniform reference fiber show that the noise is rapidly decreasing from 600 to 700 nm. At wavelengths longer than 700 nm the noise reaches a more or less constant level until approximately 900 nm. The tapered fiber of Example 1 has lower noise than the uniform reference fiber in the entire range from 600 nm to 900 nm. It is noticed that in contrast to what has been described in the article “Control of pulse-to-pulse fluctuations in visible supercontinuum”, by Kudlinski et al, the tapered optical fiber of the invention, viz. of Example 1, decreases the noise in region from about 700 to 900 nm as compared to the uniform reference fiber. From around 900 to 1100 nm the noise in the taper is larger than in the uniform reference fiber, but from 1100 nm to 1300 nm the noise is again lower in the taper. Above 1300 nm there is no power in the output from the tapered fiber which is also evident from FIG. 8 of the spectrum from the tapered fiber of Example 1. Therefore, the noise cannot be measured at wavelengths above 1300 nm. FIGS. 13a, 13b and 13c show an embodiment of a cascaded optical fiber 30 according to the invention and cross-sections through a first and second section thereof, perpendicular to the longitudinal axis, at a first and second fiber length section, respectively. The microstructured optical fiber 30 is arranged for generating supercontinuum light upon feeding of light having a first wavelength Λ1 from about 900 nm to about 1100 nm, such as between 1000 nm and 1100 nm, for instance 1064 nm. The optical fiber 30 has a length and a longitudinal axis along its length and comprises a core region for guiding light along the length of the optical fiber, and a first cladding region surrounding the core region. The optical fiber 30, along its length, comprises a first section 31, a second section 32 and a splicing 33 between the first and second sections 32, 33. The optical fiber 30 may optionally include an end cap 8 (not shown in FIG. 13a). In an embodiment the sum of the first and second lengths of the microstructured fiber 10 is such as less than about 50 m, such as less than about 30 m, such as less than about 20 m, such as less than 10 m. FIG. 13b shows a cross-section of the microstructured fiber, perpendicular to the longitudinal axis, at the first section 31. It is seen that the first section 31 has a core region with a first characteristic core diameter W1 and a cladding region with a first pitch Λ1, a first microstructure diameter d1 and a first relative size of microstructure elements Λ1/d1 in a cross-section through the first section 31 perpendicularly to the longitudinal axis. FIG. 13c shows a cross-section of the microstructured fiber, perpendicular to the longitudinal axis, at the second section 32. The second section 32 has a core region with a second characteristic core diameter W2 and a cladding region with a second pitch Λ2, a second microstructure diameter d2 and a second relative size of microstructure elements Λ2/d2 in a cross-section through the fiber perpendicularly to the longitudinal axis. Throughout the first section 31 the dimensions of the fiber, viz. the core diameter, the first pitch, the first microstructure diameter, the first relative size of microstructure elements, are substantially constant, and throughout the second section 32 the second characteristic core diameter W2, the second pitch, the second microstructure diameter, and the second relative size of microstructured elements are substantially constant. In an embodiment the first relative size d1/Λ1 of the microstructure elements is larger than the second relative size of the microstructure elements d2/Λ2, such as being about 1.2 times the second relative size d2/Λ2 of the microstructure elements or larger, such as about 1.3 times the second relative size d2/Λ2 of the microstructure elements or larger, such as about 1.4 times the second relative size d2/Λ2 of the microstructure elements or larger, such as about 1.5 times the second relative size d2/Λ2 of the microstructure elements. It is advantageous that the first relative size d1/Λ1 of the microstructure elements is larger than the second relative size of the microstructure elements d2/Λ2, in that this will reduce the splicing loss between the first section and the second section of the fiber compared to a situation where a first section and a second section having equal or similar relative size of microstructure elements were spliced together. It has been shown that for a tapered fiber with a relative size d/Λ of microstructure elements of 0.52 in both the first and second section, the lowest noise was obtained when the fiber was tapered down so that the second section had a second pitch Λ2 of around 1.3 μm. A fiber with a second section having a second pitch Λ2 of around 1.3 μm has a simulated effective area of 3 μm at 1064 nm. Table 1 below shows the relative size of microstructure elements d/Λ, the pitch Λ, the calculated mode field area and the calculated mode field diameter for a uniform reference fiber SC-3.7-975, a down-tapered part of an optical fiber, viz. the second section of a tapered optical fiber according to an embodiment of the invention, as well as the second section of a cascaded fiber according to an embodiment of the invention. From Table 1 it is seen that the a second section of a tapered fiber having a relative size of microstructure elements of 0.5 being close to the relative size of microstructure elements in the uniform reference fiber, has an effective mode field area close to one third of the mode field diameter of the uniform reference fiber. The calculated minimum splice loss between such a tapered fiber and the reference fiber with Λ=2.6 μm and (d/Λ)=0.52 is 1.6 dB. This large splice loss will lead to local heating of the fiber, which decreases the power handling capability and life time of the splice. The calculated minimum splice loss between the reference fiber and the cascaded fiber having the parameters of Table 1 is 0.1 dB. Therefore, it is advantageous that the relative size of microstructure elements in the second section of an embodiment of a cascaded fiber according to the invention is smaller than the relative size of microstructure elements in the first section. TABLE 1 Fiber d/Λ Λ (μm) Aeff (μm2) MFD (μm) SC-3.7-975 0.52 2.6 8.5 3.3 Taper waist 0.5 1.3 3 2 Cascade 0.34 2.2 10.5 3.6 In an embodiment, the second pitch Λ2 of the second section is at about 2 μm or larger, such as at about 2.3 μm or larger, such as at about 2.6 μm or larger, such as at about 3 μm or larger. In an embodiment the first length L1 is in the range from about 1 m to about 5 m or less, such as in the range from about 2 m to about 4 m. EXAMPLE 2 Example 2 is an example of an embodiment of the invention providing lowered noise. Example 2 is a cascaded fiber 30, which is a combination of a first section 31 of a uniform microstructured fiber and a second section 32 of a microstructured optical fiber spliced together at the splicing 33. Originally, the first section 31 of the cascaded fiber 30 was 10 meter of uniform fiber for supercontinuum generation. Throughout the measurements on the fiber of Example 2, the first section was shortened, as described in relation to FIG. 14, in order to compare the influence of the length of the first section on the noise. The first pitch Λ1 was 2.6 μm and first relative hole size d1/Λ1 was 0.52. The second section 32 was a microstructured optical fiber with a second pitch Λ2 of 2.2 μm, a second relative hole size d2/Λ2 of 0.36 and a length of 10 m. The second section had a second zero dispersion wavelength ZDW22=1800 nm and group velocity match wavelength GVM2=770 nm. A simulation using the Gaussian radius approximate shows that the first section has an effective mode area of 10.5 μm at 1064 nm, whereas the second section has an effective mode area of 8 μm. Using the standard formula for the coupling loss between Gaussian modes, this gives a minimum obtainable loss of 0.1 dB. In practice a splicing loss of 0.5 dB was obtained. Table 2 below indicates the parameters of the first and second section of the cascade optical fiber of Example 2. TABLE 2 First section Second section (~SC-3.7-975) (NL-1060-1800) d/Λ 0.5 0.36 Λ 2.45 μm 2.2 μm ZDW ~965 nm 1060 nm ZDW2 >2800 nm 1800 nm FIG. 14 shows graphs of the noise of an embodiment of a cascade optical fiber according to Example 2 for different lengths of the first section. The length of the first section of the cascaded fiber was gradually reduced from 10 m and the spectrum and noise were measured for lengths of 10 m, 6 m, 5 m, 4 m, 3 m, 2 m and finally 1 m. It was seen that for this particular choice of fibers, the minimum noise is obtained for a length L1 of the first section of about 3 m. FIG. 15 shows supercontinuum spectra obtained from the microstructured optical fiber of Example 2 and from a uniform reference fiber. The reference fiber for the measurements was again a uniform section of fiber. The pitch was 2.6 μm and the relative hole size (d/Λ) was 0.52. The length of the reference fiber was 10 m. This fiber is used in NKT Photonics product series SuperK EXW FIG. 15 shows noise spectra as a function of wavelength for an embodiment of a cascaded optical fiber of Example 2 as compared to a uniform reference fiber as indicated above. In the wavelength range from 750 to 920 nm, a reduction in noise is observed for the optical fiber of the invention, viz. in accordance with Example 2, compared to the uniform reference fiber. For wavelengths shorter than 750 nm, the noise in the output from the cascaded fiber of Example 2 is slightly higher than the uniform reference fiber. However, the average noise in the recorded wavelength interval is lower for the cascaded fiber, as it is clear from FIG. 17. FIG. 16 shows narrow bandwidth noise spectra as a function of wavelength for a cascaded optical fiber according to an embodiment of the invention as compared to a uniform fiber. The noise spectra of FIG. 16 are obtained for an amplification level of 60% for both the cascaded optical fiber according to an embodiment of the invention and for the reference fiber. FIG. 17 shows a noise spectrum for amplification levels between 20% and 100% of an incoherent supercontinuum source comprising an embodiment of a cascaded optical fiber according to the invention, as well as for a prior art optical fiber. In FIG. 17, a comparison of noise for tapered fiber according to Example 2 and the uniform reference fiber. In the two cases the noise is compared at identical pump power. For a given amplification level, the average noise is obtained by averaging the noise in the wavelength range from 680 to 920 nm. Thus, the graphs of FIG. 16, corresponding to the noise in the wavelength range from 680 to 920 nm result in one point in the graph of FIG. 16 for the cascaded fiber of Example 2 and another point in the graph of FIG. 16 for the uniform reference fiber. FIG. 17 shows that the average noise in this wavelength range from 680 to 920 nm is lower for the tapered fiber according to the invention compared to a uniform fiber for all power levels between 30% and 100%. FIG. 18 shows supercontinuum spectra obtained by four different optical fibers, two prior art optical fibers and two embodiments of optical fibers according to the invention. The solid curve (“Straight fiber”) shows the spectrum from a straight, uniform prior art fiber with a pitch of A=3.65 μm. The dashed curve (“Tapered for blue edge”) shows the spectrum from a fiber tapered to the blue edge, viz. a fiber tapered in order to obtain the maximum blue shift. This fiber has been tapered from a pitch Λ=3.65 μm to a pitch Λ=2.4 μm. The dotted curve (“Under tapered 1”) shows the spectrum obtained from an embodiment of a tapered fiber according to the invention. This fiber has been tapered to a pitch smaller than the blue edge pitch. This fiber has been tapered from a pitch Λ=3.65 μm to a pitch Λ=1.65 μm. Thus, the fiber corresponding to the dotted curve has been tapered to a smaller pitch than the fiber tapered down to the blue edge pitch, corresponding to the dashed curve. The dash-dotted curve (“Under tapered 2”) shows the spectrum obtained from an embodiment of another tapered fiber according to the invention. This fiber has been tapered from a pitch of A=3.65 μm to a pitch of A=1.50 μm. Thus, the fiber corresponding to the dash-dotted curve has been tapered to an even smaller pitch than the fiber corresponding to the dotted curve. From FIG. 18 it is clear that undertapering shifts the blue edge of the spectrum towards shorter wavelengths. Moreover, FIG. 19 show that the spectrum from the fiber denoted “Under tapered 2” has a relatively high power at wavelengths between about 430 nm and about 780 nm. In the range from about 430 nm to about 520 nm, the power is about 5 nW/nm or higher. The spectrum from the fiber denoted “Under tapered 1” has a quite high power, at or above 4 nW/nm, at wavelengths between about 430 nm and 475 nm. FIG. 19 is a schematic drawing of a supercontinuum light source 100 comprising a microstructured optical fiber 10 and a pump light source 20. FIG. 19 shows that the microstructured optical fiber 10 is a tapered fiber; however, the supercontinuum light source is not limited to sources with tapered fibers. Instead, a cascaded fiber could be the optical fiber of the supercontinuum light source. The pump light source 20 has an output 25 arranged to feed light into the end cap 8 of the microstructured optical fiber 10, adjacent to the first length section 12 of the optical fiber. The light fed into the end cap 8 of the optical fiber 10 continues to the intermediate length section 13 and the second length section 14. Due to the large size of the core of the fiber in the first length section 12, a large amount of light may be fed into the fiber 10 without damaging it. The light is confined to the core region, and as the core region of the fiber is reduced throughout the intermediate length section, the intensity of the confined light increases. However, due to the relatively long intermediate length section 13, the transition of the light intensity from the first length section 12 to the second length section 14 takes place adiabatically. It should be emphasized that the term “comprises/comprising” when used herein is to be interpreted as an open term, i.e. it should be taken to specify the presence of specifically stated feature(s), such as element(s), unit(s), integer(s), step(s) component(s) and combination(s) thereof, but does not preclude the presence or addition of one or more other stated features. All features of the inventions including ranges and preferred ranges can be combined in various ways within the scope of the invention, unless there are specific reasons for not to combine such features. Some embodiments have been shown in the foregoing, but it should be stressed that the invention is not limited to these, but may be embodied in other ways within the subject-matter defined in the following claims. Various modifications and applications may occur to those skilled in the art without departing from the true spirit and scope of the invention as defined in the appended claims.",G02B602376,G02B602,20160108,20171212,20160616,96152.0 40,14994097,ACCEPTED,ELECTRONIC DEVICE MODE DETECTION,"In embodiments of electronic device mode detection, a mode detection application, implemented on an electronic device, correlates an identifier of a wireless device and an activity of the electronic device based at least in part on multiple instances of detecting the identifier of the wireless device during the activity of the electronic device. In some embodiments, the mode detection application correlates the identifier of the wireless device and the activity of the electronic device based at least in part on the identifier of the wireless device not being detected during one or more different activities of the electronic device. After correlating the identifier of the wireless device and the activity of the electronic device, the mode detection application configures the electronic device to automatically switch to a mode associated with the activity responsive to detecting the identifier of the wireless device.","1. A method, comprising: detecting, at a first time, by an electronic device, and during detection of walking activity by the electronic device, an identifier of a wireless device; associating, in a database, the identifier of the wireless device and an indicator of walking activity; detecting, at a second time, by the electronic device, and during detection of walking activity by the electronic device, the identifier of the wireless device, wherein the second time occurs after the first time; updating, in the database, based on detecting the identifier of the wireless device at the second time, a detection count associated with the identifier of the wireless device and the indicator of walking activity; responsive to determining that the detection count equals a predetermined threshold, updating, in the database, a correlation field to indicate a correlation between the identifier of the wireless device and the indicator of walking activity; and responsive to detecting, at a third time and during detection of walking activity by the electronic device, the identifier of the wireless device, automatically switching, by the electronic device, to an operating mode associated with the indicator of walking activity, wherein the third time occurs after correlating the identifier of the wireless device and the indicator of walking activity. 2. The method of claim 1 further comprising: detecting, by the electronic device, and during detection by the electronic device of an activity other than walking activity, the identifier of the wireless device; and updating, in the database, the correlation field to remove the correlation between the identifier of the wireless device and the indicator of walking activity. 3. The method of claim 1, wherein the electronic device detects walking activity based on sensor data corresponding to walking activity. 4. The method of claim 3, wherein the sensor data comprises at least one of: accelerometer data received from an accelerometer sensor of the electronic device, and global positioning system (GPS) data received from a GPS sensor of the electronic device. 5. The method of claim 1, wherein the electronic device automatically switches to the operating mode associated with the indicator of walking activity without connecting the electronic device to the wireless device. 6. The method of claim 1, wherein the wireless device is a personal area network device, and wherein the identifier of the wireless device is a Bluetooth media access control address of the wireless device. 7. The method of claim 6, wherein the wireless device is a personal area network headset or personal area network headphones. 8. The method of claim 1, wherein correlating the identifier of the wireless device and the indicator of walking activity is further based at least in part on the electronic device not detecting the identifier of the wireless device during detection by the electronic device of one or more activities other than walking activity. 9. A method, comprising: detecting, at a first time, by an electronic device, and during detection of running activity by the electronic device, an identifier of a wireless device; associating, in a database, the identifier of the wireless device and an indicator of running activity; detecting, at a second time, by the electronic device, and during detection of running activity by the electronic device, the identifier of the wireless device, wherein the second time occurs after the first time; updating, in the database, based on detecting the identifier of the wireless device at the second time, a detection count associated with the identifier of the wireless device and the indicator of running activity; responsive to determining that the detection count equals a predetermined threshold, updating, in the database, a correlation field to indicate a correlation between the identifier of the wireless device and the indicator of running activity; and responsive to detecting, at a third time and during detection of running activity by the electronic device, the identifier of the wireless device, automatically switching, by the electronic device, to an operating mode associated with the indicator of running activity, wherein the third time occurs after correlating the identifier of the wireless device and the indicator of walking activity. 10. The method of claim 9 further comprising: detecting, by the electronic device, and during detection by the electronic device of an activity other than running activity, the identifier of the wireless device; and updating, in the database, the correlation field to remove the correlation between the identifier of the wireless device and the indicator of running activity. 11. The method of claim 9, wherein the electronic device detects running activity based on sensor data corresponding to running activity. 12. The method of claim 11, wherein the sensor data comprises at least one of: accelerometer data received from an accelerometer sensor of the electronic device, and global positioning system (GPS) data received from a GPS sensor of the electronic device. 13. The method of claim 9, wherein the electronic device automatically switches to the operating mode associated with the indicator of running activity without connecting the electronic device to the wireless device. 14. The method of claim 9, wherein the wireless device is a personal area network device, and wherein the identifier of the wireless device is a Bluetooth media access control address of the wireless device. 15. The method of claim 14, wherein the wireless device is a personal area network headset or personal area network headphones. 16. The method of claim 9, wherein correlating the identifier of the wireless device and the indicator of running activity is further based at least in part on the electronic device not detecting the identifier of the wireless device during detection by the electronic device of one or more activities other than running activity. 17. A method comprising: detecting, at a first time, by a mobile device, and during detection of running activity by the mobile device, an identifier of a personal area network device; associating, in a database, the identifier of the personal area network device and an indicator of running activity; detecting, at a second time, by the mobile device, and during detection of running activity by the mobile device, the identifier of the personal network area device; updating, in the database, based on detecting the identifier of the personal area network device at the second time, a detection count associated with the identifier of the personal network area device and the indicator of running activity; responsive to determining that the detection count equals a predetermined threshold, correlating, by the mobile device, the identifier of the personal area network device with an operating mode associated with the indicator of running activity; and responsive to detecting, at a third time, the identifier of the personal area network device, automatically switching, without regard to pairing the mobile device with the personal network device, to the operating mode. 18. The method of claim 17, wherein the operating mode associated with the indicator of running activity enables a user of the mobile device to select and/or play songs on the mobile device using voice commands 19. The method of claim 17, wherein the identifier of the personal area network device is a media access control (MAC) address of the personal area network device."," BACKGROUND A computing device may be able to determine whether a user of the computing device is performing certain activities, such as running or walking, based on signals received from sensors coupled to the computing device. The signals received from sensors are not always reliable, however, and can cause a computing device to incorrectly determine that the user is performing an activity. For example, signals received from the sensors may indicate that the user is running, when the user is actually in a business meeting."," BRIEF DESCRIPTION OF THE DRAWINGS Embodiments of electronic device mode detection are described with reference to the following Figures. The same numbers may be used throughout to reference like features and components that are shown in the Figures: FIG. 1 illustrates an example system in which embodiments of electronic device mode detection can be implemented. FIGS. 2A-2G illustrate examples of a database that associates wireless devices with activities of an electronic device in accordance with one or more embodiments of electronic device mode detection. FIG. 3 illustrates example method(s) of electronic device mode detection in accordance with one or more embodiments. FIG. 4 illustrates additional example method(s) of electronic device mode detection in accordance with one or more embodiments. FIG. 5 illustrates additional example method(s) of electronic device mode detection in accordance with one or more embodiments. FIG. 6 illustrates various components of an example computer device that can implement embodiments of electronic device mode detection. detailed-description description=""Detailed Description"" end=""lead""?","CROSS REFERENCE TO RELATED APPLICATION This application is a continuation application of U.S. Non-Provisional application Ser. No. 13/802,122, entitled “Electronic Device Mode Detection,” filed 13 Mar. 2013. The entire contents and substance of which are incorporated by reference as if fully set forth below. BACKGROUND A computing device may be able to determine whether a user of the computing device is performing certain activities, such as running or walking, based on signals received from sensors coupled to the computing device. The signals received from sensors are not always reliable, however, and can cause a computing device to incorrectly determine that the user is performing an activity. For example, signals received from the sensors may indicate that the user is running, when the user is actually in a business meeting. BRIEF DESCRIPTION OF THE DRAWINGS Embodiments of electronic device mode detection are described with reference to the following Figures. The same numbers may be used throughout to reference like features and components that are shown in the Figures: FIG. 1 illustrates an example system in which embodiments of electronic device mode detection can be implemented. FIGS. 2A-2G illustrate examples of a database that associates wireless devices with activities of an electronic device in accordance with one or more embodiments of electronic device mode detection. FIG. 3 illustrates example method(s) of electronic device mode detection in accordance with one or more embodiments. FIG. 4 illustrates additional example method(s) of electronic device mode detection in accordance with one or more embodiments. FIG. 5 illustrates additional example method(s) of electronic device mode detection in accordance with one or more embodiments. FIG. 6 illustrates various components of an example computer device that can implement embodiments of electronic device mode detection. DETAILED DESCRIPTION In embodiments of electronic device mode detection, a mode detection application, implemented on an electronic device, correlates an identifier of a wireless device and an activity of the electronic device based at least in part on multiple instances of detecting the identifier of the wireless device during the activity of the electronic device. The electronic device can determine the activity of the electronic device based on sensor data, such as acceleration data or global positioning system (GPS) data, corresponding to the activity of the electronic device. In some embodiments, the mode detection application correlates the identifier of the wireless device and the activity of the electronic device based at least in part on the identifier of the wireless device not being detected during one or more different activities of the electronic device. After correlating the identifier of the wireless device and the activity of the electronic device, the mode detection application configures the electronic device to automatically switch to a mode associated with the activity responsive to detecting the identifier of the wireless device. In other embodiments of electronic device mode detection, a mobile device detects a media access control device (MAC) address of a Bluetooth™ device. A mode detection application, implemented on the mobile device, determines whether the MAC address of the Bluetooth device is correlated with a driving mode. If the MAC address of the Bluetooth device is correlated with the driving mode, the mode detection application causes the mobile device to switch to the driving mode without regard to pairing with the Bluetooth device. While features and concepts of electronic device mode detection can be implemented in any number of different devices, systems, and/or configurations, embodiments of electronic device mode detection are described in the context of the following example devices, systems, and methods. FIG. 1 is an illustration of an example environment 100 in which electronic device mode detection can be implemented. Environment 100 includes an electronic device 102, which is illustrated with various non-limiting example devices: mobile device 102-1, laptop 102-2, and tablet 102-3. Device 102 includes processor(s) 104 and computer-readable media 106, which includes memory media 108 and storage media 110. It is to be appreciated that electronic device 102 may also be implemented as an entertainment device, a gaming device, a navigation device, and/or other type of electronic device. Applications and/or an operating system (not shown) embodied as computer-readable instructions on computer-readable media 106 can be executed by processor(s) 104 to provide some or all of the functionalities described herein. Computer-readable media 106 also includes mode detection application 112, which will be described in more detail below. Electronic device 102 can also be implemented with any number and combination of differing components as further described with reference to the example device shown in FIG. 6. Electronic device 102 may also include wireless interface(s) 114 for communicating data over wireless or optical networks. By way of example and not limitation, wireless interfaces 114 may communicate data over local-area-networks (LAN), wireless local-area-networks (WLAN), personal-area-networks (PAN), wide-area-networks (WAN), an intranet, the Internet, peer-to-peer networks, point-to-point networks, mesh networks, and the like. Wireless interface 114 may also communicate data with wireless device(s) 116 located near electronic device 102. Wireless device(s) 116 may include a wireless transmitter 118 that is configured to transmit a signal that includes an identifier 120 of the wireless device that is detectable by wireless interface 114. In some embodiments, wireless device 116 can be implemented as a Bluetooth device, such as a Bluetooth headset 116-1, Bluetooth headphones 116-2, or Bluetooth car system 116-3, to name just a few. Bluetooth is a wireless technology standard for exchanging data over short distances between Bluetooth enabled devices. Each Bluetooth device has a unique identifier that is discoverable by scanning for Bluetooth devices. In accordance with various embodiments, when wireless device 116 is implemented as a Bluetooth device, identifier 120 may be a media access control (MAC) address of the Bluetooth device. While wireless device 116 will be described herein primarily as a Bluetooth device, it is to be noted that wireless device 116 may also be implemented as a WiFi™ device, such as a WiFi hotspot in a car, or as any other type of discoverable wireless device. Environment 100 may also include one or more sensors 122 that are configured to receive sensor data corresponding to an activity of electronic device 102. Sensors 122 can be implemented as an accelerometer sensor configured to receive accelerometer data, a global positioning system (GPS) sensor configured to receive GPS data, or any other type of sensor configured to receive sensor data that corresponds to an activity of electronic device 102. As described herein, activities of the electronic device can correspond to activities of a user of electronic device 102, such as the user driving in a car, running, walking, or sleeping. Sensors 122 can determine activities of electronic device 102 in a variety of different ways. An accelerometer sensor, for example, can determine that the user is driving in car, running, or walking by sensing motion patterns of electronic device 102 that correspond to these activities. For instance, when the user is running with electronic device 102, the motion pattern of the electronic device may move down, forward, and then up in a triangular pattern. Similarly, when a user is driving with electronic device 102, the motion pattern of the electronic device may be a constant forward motion that also goes up and down. The accelerometer sensor is configured to sense motion patterns and determine an activity of electronic device 102 based on the sensed motion patterns. Additionally, a GPS sensor can determine speed and/or acceleration of the electronic device by determining changes in a location of the electronic device over time. In some cases, an activity of the electronic device can be determined using both accelerometer data and GPS data. For example, a triangular motion pattern sensed by the accelerometer sensor can be determined to correspond to driving, instead of running, if GPS data indicates a speed of 50 mph. Mode detection application 112 can cause electronic device 102 to automatically switch to a mode associated with a detected activity to enable the user to more effectively use the electronic device when performing the activity. As discussed in more detail below, modes associated with the activity of the electronic device can include, by way of example and not limitation, a driving mode, a running mode, a walking mode, and a sleeping mode. Unfortunately, detection of activities of electronic device 102 by sensors 122 can be inconsistent and unreliable. Acceleration sensors, for example, may detect that a user is driving, when in fact the user is actually in a meeting. The triangular pattern of acceleration data that indicates the electronic device moving forward, then down, then up can be detected by sensors 122 if the user moves the electronic device in this pattern. It is to be appreciated that if the mobile device switches to a driving mode when the user is not driving that this could be annoying and inconvenient for the user. For example, it would be annoying to the user to have the user's mobile device switch into the driving mode and announce the name of incoming callers if the user is actually in a meeting. As another example, accelerometer sensors may determine that the user is not driving, causing the user's mobile device to switch out of the driving mode, when the user is stopped at a red light or stuck in traffic. GPS sensors can also be unreliable in areas where a weak GPS signal is detected. For example, GPS sensors may determine that a user is moving at a speed of 50 mph, when in fact the user is walking along a window of a tall building which may cause the GPS signal to be weak. In accordance with various embodiments, mode detection application 112 is configured to correlate identifier 120 of wireless device 116 with an activity of electronic device 102 based at least in part on multiple instances of detecting identifier 120 of wireless device 116 during the activity of electronic device 102. To correlate an identifier of a wireless device with an activity, electronic device 102 controls wireless interface 114 to scan for and detect identifiers of wireless devices responsive to receiving sensor data from sensors 122 that indicate that the activity is occurring. Then, over a period of time, mode detection application 112 is able to determine identifiers 120 of wireless devices 116 that are consistently detected during certain activities. For example, if a user drives a car with a Bluetooth car system, such as Bluetooth car system 116-3, mode detection application 112 can determine, over a period of time, that when a driving activity is occurring, an identifier of the Bluetooth car system is often detected. Once an identifier 120 of a wireless device 116 is consistently detected during an activity, mode detection application 112 correlates the identifier of the wireless device with the activity. In various embodiments, each time that identifier 120 of wireless device 116 is detected during an activity, mode detection application 112 updates a detection count associated with the identifier of the wireless device and the activity of the electronic device in a database 124. The detection count, therefore, indicates the total number of times, or instances, that the identifier of the wireless device has been detected during the activity. Database 124 is configured to store associations between identifiers 120 of wireless devices 116 and activities of electronic device 102. Database 124 can be located at electronic device 102, or can be located at a remote server or computing device, accessible by electronic device 102 over a mobile network or a wireless network via wireless interface 114. FIGS. 2a-2g illustrate examples of database 124 that associates identifiers 120 of wireless devices 116 with activities of electronic device 102, in accordance with some embodiments. In these examples, database 124 includes a device identifier field 202, an activity field 204, a detection count field 206, and a correlation field 208. It is to be appreciated, however, that this is a non-limiting example of database 124, and that database 124 can include any type of data fields that associate identifiers 120 of wireless devices 116 and activities. Mode detection application 112 can be implemented to create a new entry in database 124 for an identifier of a wireless device the first time that mode detection application 112 detects the identifier during the activity. In FIG. 2a, for example, mode detection application 112 creates a new entry corresponding to a detected Bluetooth headset, such as Bluetooth headset 116-1, the first time that the Bluetooth headset is detected during an activity. Consider, for example, that sensor 122 receives sensor data that corresponds to driving in a car. In response, mode detection application 112 controls wireless interface 114 to scan for identifiers 120 of wireless devices 116. In this example, wireless interface 114 detects an identifier of the Bluetooth headset. If this is the first time that the identifier of the Bluetooth headset is detected, mode detection application 112 creates a new entry for the Bluetooth headset by storing an identifier of the Bluetooth headset in device identifier field 202. Mode detection application 112 is configured to store any type of identifier of a wireless device in database 124, including a name of the identifier, or a MAC address of the wireless device, to name just a few. After creating the new entry in database 124, mode detection application 112 associates the identifier of the wireless device with the activity. In some embodiments, mode detection application 112 associates the identifier of the wireless device with the activity by updating detection count field 206. For example, as illustrated in FIG. 2b, after creating the new entry for the Bluetooth headset in database 124, mode detection application updates detection count field 206 associated with the driving activity to “1” to indicate the detection of the Bluetooth headset during the driving activity. In some embodiments, mode detection application 112 is configured to correlate an identifier of a wireless device and an activity when the detection count associated with the identifier of the wireless device and the activity is greater than, or equal to, a predetermined threshold. In FIG. 2c, for example, consider that the predetermined threshold is 10 previous detections. In this example, detection count field 206 of database 124 indicates that the Bluetooth headset has been detected during the driving activity 9 times, but is not yet correlated with the driving activity. Therefore, the next time that wireless interface 114 detects the identifier of the Bluetooth headset during the driving activity, mode detection application 112 updates detection count field 206 associated with the Bluetooth headset and driving to “10”, as illustrated in FIG. 2d. In addition, because the detection count is now equal to the predetermined threshold, mode detection application 112 updates correlation field 208 associated with driving to “yes”, as illustrated in FIG. 2d. It is to be appreciated that the predetermined threshold of detections can be set to any number. In some embodiments, for example, mode detection application 112 correlates a wireless device and an activity if the identifier of the wireless device is detected during the activity two or more times. Alternately, the predetermined threshold may correspond to a frequency of detections over a predetermined period of time. For example, mode detection application 112 can be configured to correlate an identifier with an activity if the identifier is detected during the activity 10 times over a period of one week, but not if it is detected 10 times over a period of 1 year. In some embodiments, mode detection application 112 is configured to correlate an identifier of a wireless device with an activity if the identifier of the wireless device is not detected during one or more different activities of the electronic device. In other words, mode detection application 112 does not correlate an identifier and an activity if the identifier has also been previously detected during one or more other activities. It is to be appreciated, that if the identifier has been detected during two or more different activities, that that mode detection application 112 cannot rely on detection of the identifier as an indicator of a single activity. In FIG. 2e, for example, consider that the predetermined threshold is again 10 previous detections. In this example, activity field 204 of database 124 indicates that the identifier of the Bluetooth headset has been detected during the driving activity 9 different times, but is not correlated with the driving activity. In this case, however, detection count 206 of database 124 indicates that the Bluetooth headset has also been detected during a running activity 2 different times. In this case, because the identifier of the Bluetooth headset has been detected during both driving activities and running activities, the detection of the identifier of the Bluetooth headset, by itself, does not enable mode detection application 112 to determine that the user is either driving or running. Thus, as illustrated in FIG. 2f, when wireless interface 114 again detects the identifier of the Bluetooth headset during the driving activity, mode detection application 112 updates detection count field 206 associated with the Bluetooth headset and driving to “10” previous detections. Mode detection application 112 does not, however, change correlations field 208 associated with the Bluetooth headset and driving to “yes”, even though the number of previous detections is equal to the predetermined threshold, because the Bluetooth headset has also been detected during the running activity. In some embodiments, mode detection application 112 is configured to remove a correlation of an identifier of a wireless device and an activity if the identifier is detected during a different activity. In FIG. 2d, for example, mode detection application 112 can remove the correlation between the Bluetooth headset and driving by changing verification field 208 associated with driving to “no” if the identifier of the Bluetooth headset is detected during a different activity, such as running. Alternately, mode detection application 112 can remove the correlation between the Bluetooth headset and driving by deleting the data corresponding to the Bluetooth headset in database 124 if the identifier of the Bluetooth headset is detected during a different activity, such as running. FIG. 2g illustrates an example database 124 that includes entries for a Bluetooth Headset, Bluetooth headphones, a first Bluetooth car system, and a second Bluetooth car system. In this example, the Bluetooth headset is not correlated with any activities because an identifier of the Bluetooth headset has been detected during both driving activities and running activities. In contrast, the Bluetooth headphones are correlated with running, in this example, because an identifier of the Bluetooth headphones has been detected during the running activity 25 times, and has not been detected during any other activities. Similarly, the first Bluetooth car system is correlated with driving because an identifier of the Bluetooth car system has been detected during the driving activity 75 times, and has not been detected during any other activities. In contrast, the second Bluetooth car system is not correlated with driving because an identifier of the second Bluetooth car system has only been detected 2 times during the driving activity. Consider, for example, that the first Bluetooth car system may correspond to the user's car, whereas the second Bluetooth car system could correspond to a car of the user's friend that the user rides in less frequently. In some embodiments, mode detection application 112 is configured to correlate a single wireless device 116 with each activity. In FIG. 2g, for example, even if there were 25 detections of the second Bluetooth headset during the driving activity, mode detection application 112 can be implemented to correlate only the first Bluetooth headset with driving because this association has been detected more times. In some embodiments, mode detection application 112 is configured to initiate display of contents of database 124 on a screen of electronic device 102 to enable the user to correlate, or un-correlate, an identifier 120 of a wireless device 116 and an activity. For example, mode detection application 112 may automatically remove a correlation between a wireless device and an activity if an identifier of the wireless device is detected during a different activity. Mode detection application 112, however, can enable the user to override the removal of the correlation by selecting a control to correlate the wireless device and the activity. After correlating an identifier of a wireless device with an activity, mode detection application 112 configures electronic device 102 to automatically switch to a mode associated with the activity responsive to detecting the identifier of the wireless device at a subsequent time. In FIG. 2d, for example, mode detection application 112 causes electronic device 102 to automatically switch to the driving mode when the identifier of the Bluetooth device is detected based on the correlation of the identifier of the Bluetooth device and driving in correlation field 208 of database 124. It is to be appreciated that the detection of an identifier of a wireless device to determine that an activity is occurring is more reliable than detecting an activity based on accelerometer data received from an accelerometer sensor, or GPS data received from a GPS sensor. Thus, once an identifier of a wireless device and an activity are correlated, mode detection application 112 can accurately determine when to cause electronic device 102 to switch to a mode associated with the activity based on detection of the identifier. Modes associated with activities of electronic device 102, can include, by way of example and not limitation, a driving mode, a running mode, a walking mode, and a sleeping mode. A driving mode of electronic device 102 activates features that enable the user to interact with the electronic device when driving or riding in a car. For example, a mobile device, when in the driving mode, can be controlled to announce a name of a caller, or to receive voice commands from the user to control the mobile device. In some embodiments, the driving mode may cause electronic device 102 to notify other devices that the user is driving. For example, electronic device 102 may determine that the user is driving home from work, and responsively send a notification to a heating system located at the user's house that causes the heating system to switch from an economy setting to a comfort setting. In some embodiments, electronic device 102 can automatically update a status of the user on one or more social media sites to indicate that the user is currently driving, sleeping, or going for a run. As another example, a running mode may enable a user to control electronic device 102 when running, such as by enabling the user to select and play songs on electronic device 102 using voice commands It is to be noted that mode detection application 112 can detect identifier 120 of wireless device 116 and cause electronic device 102 to automatically switch to a mode associated with a corresponding activity, without regard to connecting to, or pairing with, the wireless device. Consider, for example, a car equipped with a Bluetooth car system, such as Bluetooth car system 116-3, that enables a user to pair the user's mobile device to the Bluetooth car system to place and receive calls through the Bluetooth car system. A user of the car, however, may prefer to not connect the user's mobile device to Bluetooth car system 116-3 when driving. Nevertheless, each time the user is in the user's car, mode detection application 112 executing on the user's mobile device is able to detect a wireless signal from the Bluetooth car system that includes an identifier 120 of the Bluetooth car system. Therefore, detection of the identifier of Bluetooth car system causes mode detection application 112 to switch the user's mobile device to the driving mode even though the user does not pair the mobile device to the Bluetooth car system. EXAMPLE METHODS Example methods 300, 400, and 500 are described with reference to FIG. 1 in accordance with one or more embodiments of electronic device mode detection. Generally, any of the methods, components, and modules described herein can be implemented using software, firmware, hardware (e.g., fixed logic circuitry), manual processing, or any combination thereof. A software implementation represents program code that performs specified tasks when executed by a computer processor, and the program code can be stored in computer-readable storage media devices. FIG. 3 illustrates example method(s) 300 of electronic device mode detection. The order in which the method blocks are described are not intended to be construed as a limitation, and any number or combination of the described method blocks can be combined in any order to implement a method, or an alternate method. Block 302 correlates an identifier of a wireless device and an activity of an electronic device. For example, mode detection application 112 (FIG. 1) correlates identifier 120 of wireless device 116 with an activity of electronic device 102 based at least in part on multiple instances of detecting the identifier of the wireless device during the activity of the electronic device. In some embodiments, mode detection application 112 is further configured to correlate identifier 120 of wireless device 116 with the activity of electronic device 102 based on the identifier not being detected during one or more different activities of the electronic device. An activity of electronic device 102 can include, by way of example and not limitation, driving, running, or walking. The activity can be determined by mode detection application 112 based on sensor data, received from sensors 122, that corresponds to the activity. In some embodiments, wireless device 116 is a Bluetooth device, such as Bluetooth headset 116-1, Bluetooth headphones 116-2, or Bluetooth car system 116-3, and the identifier is a MAC address of the Bluetooth device. Block 304 detects the identifier of the wireless device after correlating the identifier of the wireless device and the activity of the electronic device. For example, wireless interface 114 detects identifier 120 of wireless device 116 after mode detection application 112 correlates the identifier of the wireless device and the activity of the electronic device. Block 306 causes the electronic device to automatically switch to a mode associated with the activity. For example, mode detection application 112 causes electronic device 102 to automatically switch to a mode associated with the activity. Modes associated with an activity can include, by way of example and not limitation, a driving mode, a running mode, a walking mode, or a sleeping mode. FIG. 4 illustrates additional example method(s) 400 of electronic device mode detection. In particular, FIG. 4 illustrates a more-detailed method of correlating an identifier of a wireless device and an activity of an electronic device, as illustrated in block 302 of FIG. 3. The order in which the method blocks are described are not intended to be construed as a limitation, and any number or combination of the described method blocks can be combined in any order to implement a method, or an alternate method. Block 402 detects an identifier of a wireless device during an activity of an electronic device. For example, wireless interface 114 (FIG. 1) detects identifier 120 of wireless device 116 during an activity of electronic device 102. Block 404 associates the identifier of the wireless device and the activity of the electronic device. For example, as illustrated in FIGS. 2a-2g, the first time that identifier 120 of wireless device 116 is detected during the activity, mode detection application 112 associates identifier 120 of wireless device 116 and the activity of electronic device 102 in database 124. Block 406 detects, at a subsequent time, the identifier of the wireless device during the activity of the electronic device, and block 408 updates a detection count associated with the identifier of the wireless device and the activity of the electronic device. For example, wireless interface 114 detects, at a subsequent time, identifier 120 of wireless device 116 during the activity of electronic device 102. When the identifier of the wireless device is subsequently detected, mode detection application 112 updates the detection count associated with identifier 120 of wireless device 116 and the activity in database 124. The detection count, therefore, indicates the total number of times, or instances, that the identifier of the wireless device has been detected during the activity. Block 410 determines whether the detection count is equal to a predetermined threshold. For example, mode detection application 112 determines whether the detection count associated with identifier 120 of wireless device 116 and the activity of electronic device 102 in database 124 is equal to a predetermined threshold. If the detection count is not equal to the predetermined threshold, mode detection application 112 continues to update the detection count each time that the identifier of the wireless device is detected. Block 412 determines whether the identifier of the wireless device has been detected during one or more different activities. For example, mode detection application 112 determines whether identifier 120 of wireless device 116 has been detected during one or more different activities of electronic device 102. In some embodiments, if the identifier of the wireless device has been detected during one or more different activities, then mode detection application 112 does not correlate the identifier of the wireless device with either of the two or more activities. Block 414 correlates the identifier of the wireless device and the activity of the electronic device. For example, mode detection application 112 correlates identifier 120 of wireless device 116 and the activity of electronic device 102 in database 124. In some embodiments, mode detection application 112 correlates identifier 120 of wireless device 116 and the activity of electronic device 102 in database 124 if the detection count is equal to the predetermined threshold. In some embodiments, mode detection application 112 correlates identifier 120 of wireless device 116 and the activity of electronic device 102 in database 124 if the identifier of the wireless device has not been detected during one or more different activities. In some embodiments, mode detection application 112 correlates identifier 120 of wireless device 116 and the activity of electronic device 102 in database 124 if the detection count is equal to the predetermined threshold and if the identifier of the wireless device has not been detected during one or more different activities. FIG. 5 illustrates additional example method(s) 500 of electronic device mode detection. The order in which the method blocks are described are not intended to be construed as a limitation, and any number or combination of the described method blocks can be combined in any order to implement a method, or an alternate method. Block 502 detects, at a mobile device, a MAC address of a Bluetooth device. For example, wireless interface 114 (FIG. 1) of mobile device 102-1 detects a MAC address of a Bluetooth device, such as Bluetooth headset 116-1, Bluetooth headphones 116-2, or Bluetooth car system 116-3. Block 504 determines that the MAC address of the Bluetooth device is associated with a driving mode in a database. For example, mode detection application 112 determines that the MAC address of the Bluetooth device is associated with a driving mode in database 124. Block 506 causes the mobile device to switch to the driving mode without regard to pairing with the Bluetooth device. For example, mode detection application 112 causes mobile device 102-1 to switch to the driving mode without regard to pairing with the Bluetooth device. In various embodiments, the driving mode causes the mobile device to announce incoming callers via a speaker of the mobile device, update a status associated with the user of the mobile device, and/or enable the mobile device to receive voice commands from a user of the mobile device. FIG. 6 illustrates various components of an example computer device 500 that can be implemented as any device described with reference to any of the previous FIGS. 1-5, such as electronic device 102 or wireless device 116. The computer device may be implemented as any one or combination of a fixed or mobile device, in any form of a consumer, computer, portable, user, communication, phone, navigation, gaming, media playback, and/or computer device. The computer device 600 includes communication transceivers 602 that enable wired and/or wireless communication of device data 604, such as received data, data that is being received, data scheduled for broadcast, data packets of the data, etc. Example communication transceivers 602 include wireless personal area network (WPAN) radios compliant with various IEEE 802.15 (also referred to as Bluetooth™) standards, wireless local area network (WLAN) radios compliant with any of the various IEEE 802.11 (also referred to as WiFi™) standards, wireless wide area network (WWAN) radios for cellular telephony, wireless metropolitan area network (WMAN) radios compliant with various IEEE 802.15 (also referred to as WiMAX™) standards, and wired local area network (LAN) Ethernet transceivers. The computer device 600 may also include one or more data input ports 606 via which any type of data, media content, and/or inputs can be received, such as user-selectable inputs, messages, music, television content, recorded video content, and any other type of audio, video, and/or image data received from any content and/or data source. The data input ports may include USB ports, coaxial cable ports, and other serial or parallel connectors (including internal connectors) for flash memory, DVDs, CDs, and the like. These data input ports may be used to couple the computer device to components, peripherals, or accessories such as microphones or cameras. Additionally, the computer device 600 may include media capture components 608, such as an integrated microphone to capture audio and a camera to capture still images and/or video media content. The computer device 600 includes one or more processors 610 (e.g., any of microprocessors, controllers, and the like), which process computer-executable instructions to control operation of the device. Alternatively or in addition, the computer device can be implemented with any one or combination of software, hardware, firmware, or fixed logic circuitry that is implemented in connection with processing and control circuits, which are generally identified at 612. Although not shown, the computer device can include a system bus or data transfer system that couples the various components within the device. A system bus can include any one or combination of different bus structures, such as a memory bus or memory controller, a peripheral bus, a universal serial bus, and/or a processor or local bus that utilizes any of a variety of bus architectures. The computer device 600 also includes one or more memory devices 614 that enable data storage, examples of which include random access memory (RAM), non-volatile memory (e.g., read-only memory (ROM), flash memory, EPROM, EEPROM, etc.), and a disk storage device. A disk storage device may be implemented as any type of magnetic or optical storage device, such as a hard disk drive, a recordable and/or rewriteable disc, any type of a digital versatile disc (DVD), and the like. The computer device 600 may also include a mass storage media device. A memory device 614 provides data storage mechanisms to store the device data 604, other types of information and/or data, and various device applications 616 (e.g., software applications). For example, an operating system 618 can be maintained as software instructions within a memory device and executed on the processors 610. The device applications may also include a device manager, such as any form of a control application, software application, signal-processing and control module, code that is native to a particular device, a hardware abstraction layer for a particular device, and so on. In embodiments, the computer device also includes mode detection application 620. Mode detection application 620 is an example of the mode detection application 112 at the electronic device 102 shown in FIG. 1. The computer device 600 also includes an audio and/or video processing system 622 that generates audio data for an audio system 624 and/or generates display data for a display system 626. The audio system and/or the display system may include any devices that process, display, and/or otherwise render audio, video, display, and/or image data. Display data and audio signals can be communicated to an audio component and/or to a display component via an RF (radio frequency) link, S-video link, HDMI (high-definition multimedia interface), composite video link, component video link, DVI (digital video interface), analog audio connection, or other similar communication link, such as media data port 628. Additionally, the audio system and/or the display system may be external components to the computer device, or alternatively, are integrated components of the example computer device. Although embodiments of electronic device mode detection have been described in language specific to features and/or methods, the subject of the appended claims is not necessarily limited to the specific features or methods described. Rather, the specific features and methods are disclosed as example implementations of electronic device mode detection.",G06F9445,G06F9445,20160112,20170418,20160728,80813.0 41,14987000,ACCEPTED,PERIMETER MONITORING USING AUTONOMOUS DRONES,"Aspects include a method, system and computer program product for monitoring a fence about an ad-hoc perimeter. The method includes defining an ad-hoc perimeter to be monitored. A number of autonomous drones are determined for creating a monitoring fence arrangement of the ad-hoc perimeter, each of the autonomous drones including a movement detection mechanism. A position is determined for each of the determined number of autonomous drones. The position is transmitted to each of the autonomous drones. A status of the autonomous drones is monitored. A signal is transmitted in response to at least one of the autonomous drones detecting a breach of the ad-hoc perimeter with the movement detection mechanism.","1. A method comprising: defining an ad-hoc perimeter to be monitored, the ad-hoc perimeter having at least one curved portion; determining a line tangent to the at least one curved portion; determining a number of autonomous drones for creating a monitoring fence arrangement of the ad-hoc perimeter, each of the autonomous drones including a movement detection mechanism, the movement detection mechanism including a light source and a light detector; determining a position for each of the determined number of autonomous drones, at least one position being based at least in part on the tangent line; transmitting the position to each of the autonomous drones; projecting a light from the light source along the tangent line; monitoring a status of the autonomous drones; transmitting a signal in response to at least one of the autonomous drones detecting a breach of the ad-hoc perimeter based at least in part on detecting movement by receiving with the light detector a reflection of the light by a surface along the tangent line. 2. The method of claim 1 wherein the determining the number of autonomous drones includes determining a number of tangents for the ad-hoc perimeter. 3. (canceled) 4. The method of claim 2 further comprising determining a total power for operating the autonomous drones used in creating the monitoring fence arrangement. 5. The method of claim 4 wherein a sum of a power rating for each of the autonomous drones is equal to or greater than the total power. 6. The method of claim 1 wherein the light source is a laser emitting light source. 7. The method of claim 6 wherein the the light detector is an optical receiver. 8. The method of claim 1 further comprising determining a stored energy level for each of the autonomous drones, and replacing a first autonomous drone of the autonomous drones with a second autonomous drone in response to the stored energy level of the first autonomous drone being below a predetermined threshold. 9. The method of claim 1 further comprising: moving the ad-hoc perimeter on a periodic or aperiodic basis; determining a new position for each of the autonomous drones based on the moving of the ad-hoc perimeter; determining a second tangent line to an at least one second curved portion of the ad-hoc perimeter; and transmitting the new position to each of the autonomous drones, wherein at least one of new position is at the intersection of the second tangent line and the at least one second curved portion. 10. A system comprising: a memory having computer readable instructions; and one or more processors for executing the computer readable instructions, the computer readable instructions comprising: defining an ad-hoc perimeter to be monitored, the ad-hoc perimeter having at least one curved portion; determining a tangent line to the at least one curved portion; determining a number of autonomous drones for creating a monitoring fence arrangement of the ad-hoc perimeter, each of the autonomous drones including a movement detection mechanism, the movement detection mechanism including a light source and a light detector; determining a position for each of the determined number of autonomous drones, at least one position being based at least in part on the tangent line; transmitting the position to each of the autonomous drones, wherein at least one position is located on the tangent line; positioning at least one autonomous drone at the at least one position; projecting a light from the light source of the at least one autonomous drone along the tangent line; monitoring a status of the autonomous drones; transmitting a signal in response to at least one of the autonomous drones detecting a breach of the ad-hoc perimeter based at least in part on detecting movement by receiving with the light detector of the at least one autonomous drone a reflection of the light by a surface along the tangent line. 11. The system of claim 10 wherein the determining the number of autonomous drones includes determining a number of tangents for the ad-hoc perimeter and the number of autonomous drones is based at least in part on the determined number of tangents. 12. The system of claim 11 wherein the computer readable instructions further comprise determining a total power for operating the autonomous drones used in creating the monitoring fence arrangement. 13. The system of claim 12 wherein a sum of a power rating for each of the autonomous drones is equal to or greater than the total power. 14. The system of claim 10 wherein the light source is a laser emitting light source. 15. The system of claim 14 wherein the light detector an optical receiver. 16. The system of claim 10 further comprising determining a stored energy level for each of the autonomous drones, and replacing a first autonomous drone of the autonomous drones with a second autonomous drone in response to the stored energy level of the first autonomous drone being below a predetermined threshold. 17. A computer program product for creating a monitoring fence about an ad-hoc perimeter, the computer program product comprising a non-transitory computer readable storage medium having program instructions embodied therewith, the program instructions executable by a processor to cause the processor to perform: defining the ad-hoc perimeter to be monitored, the ad-hoc perimeter having at least one curved portion; determining a tangent line to the at least one curved portion; determining a number of autonomous drones for creating a monitoring fence arrangement of the ad-hoc perimeter, each of the autonomous drones including a movement detection mechanism, the movement detection mechanism including a light source and a light detector; determining a position for each of the determined number of autonomous drones, at least one position being based at least in part on the tangent line; transmitting the position to each of the autonomous drones; projecting a light from the light source of at least one autonomous drone along the tangent line; monitoring a status of the autonomous drones; and transmitting a signal in response to at least one of the autonomous drones detecting a breach of the ad-hoc perimeter based at least in part on detecting movement by receiving with the light detector a reflection of the light by a surface along the tangent line. 18. The computer program product of claim 17 wherein the determining the number of autonomous drones includes determining a number of tangents for the ad-hoc perimeter and the number of autonomous drones is based at least in part on the determined number of tangents. 19. The computer program product of claim 17 wherein the computer readable instructions further comprise determining a total power for operating the autonomous drones used in creating the fence monitoring arrangement, and a sum of a power rating for operating each of the autonomous drones is equal to or greater than the total power for operating the autonomous drones used in the fence monitoring arrangement. 20. The computer program product of claim 17 wherein the computer readable instructions further comprise determining a stored energy level for each of the autonomous drones, and replacing a first autonomous drone of the autonomous drones with a second autonomous drone in response to the stored energy level of the first autonomous drone being below a predetermined threshold. 21. The method of claim 1 further comprising positioning at least one autonomous drone at the at least one position, wherein the at least one position is at the intersection of the tangent line and the at least one curved portion."," BACKGROUND The present invention relates generally to a system and method for monitoring a perimeter and, more specifically, to a system and method for using autonomous drones for monitoring an ad-hoc perimeter. Autonomous drones, also referred to as unmanned aerial vehicles (UAVs) can be used to achieve a certain set of needs or tasks such monitoring a boundary or providing security for an object."," SUMMARY Embodiments include a method, system and computer program product for monitoring a fence about an ad-hoc perimeter. The method includes defining an ad-hoc perimeter to be monitored. A number of autonomous drones are determined for creating a monitoring fence arrangement of the ad-hoc perimeter, each of the autonomous drones including a movement detection mechanism. A position is determined for each of the determined number of autonomous drones. The position is transmitted to each of the autonomous drones. A status of the autonomous drones is monitored. A signal is transmitted in response to at least one of the autonomous drones detecting a breach of the ad-hoc perimeter with the movement detection mechanism. Additional features are realized through the techniques of the present invention. Other embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed invention. For a better understanding of the invention with the features, refer to the description and to the drawings.","BACKGROUND The present invention relates generally to a system and method for monitoring a perimeter and, more specifically, to a system and method for using autonomous drones for monitoring an ad-hoc perimeter. Autonomous drones, also referred to as unmanned aerial vehicles (UAVs) can be used to achieve a certain set of needs or tasks such monitoring a boundary or providing security for an object. SUMMARY Embodiments include a method, system and computer program product for monitoring a fence about an ad-hoc perimeter. The method includes defining an ad-hoc perimeter to be monitored. A number of autonomous drones are determined for creating a monitoring fence arrangement of the ad-hoc perimeter, each of the autonomous drones including a movement detection mechanism. A position is determined for each of the determined number of autonomous drones. The position is transmitted to each of the autonomous drones. A status of the autonomous drones is monitored. A signal is transmitted in response to at least one of the autonomous drones detecting a breach of the ad-hoc perimeter with the movement detection mechanism. Additional features are realized through the techniques of the present invention. Other embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed invention. For a better understanding of the invention with the features, refer to the description and to the drawings. BRIEF DESCRIPTION OF THE DRAWINGS The subject matter which is regarded as the invention is particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The forgoing and other features of embodiments of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which: FIG. 1 depicts a block diagram of an autonomous drone in accordance with an embodiment of this disclosure; FIG. 2 depicts a block diagram of a controller for an autonomous drone in accordance with an embodiment of this disclosure; FIG. 3 depicts a plan view of a perimeter monitoring system in accordance with some embodiments of this disclosure; FIG. 4 depicts a flow diagram of a method of monitoring an ad-hoc perimeter in accordance with some embodiments of this disclosure FIG. 5 depicts a plan view of a perimeter monitoring system in accordance with some embodiments of this disclosure; FIG. 6 depicts a plan view of a perimeter monitoring system in accordance with some embodiments of this disclosure; and FIG. 7 depicts a cloud computing environment according to an embodiment of the present invention; and FIG. 8 depicts abstraction model layers according to an embodiment of the present invention. DETAILED DESCRIPTION Embodiments of the present disclosure are directed to a system and method for creating a monitoring fence, such as a laser fence, about an ad-hoc perimeter using autonomous drones. Embodiments provide for transmitting a signal, such as to trigger an alarm, in response to one or of the autonomous drones detecting a breach of the perimeter. Embodiments provide for the dynamic changing of the ad-hoc perimeter while maintaining the monitoring fence. Still further embodiments provide for automatically replacing an autonomous drone with a new autonomous drone in response to a stored energy level of the autonomous drone falling below a predetermined threshold. Referring now to FIG. 1, an embodiment is shown of an autonomous drone 20 or unmanned aerial vehicle. As used herein, the term “drone” refers to an aerial vehicle capable to operating autonomously from a human operator to perform a predetermined function, such as perform monitoring functions for example. The drone 20 includes a fuselage 22 that supports at least one thrust device 24. In an embodiment, the drone 20 includes a plurality of thrust devices 24A, 24B, such as four thrust devices arranged about the periphery of the fuselage 22. In an embodiment, the thrust devices 24 include propeller member that rotates to produce thrust. The thrust devices 24 may be configurable to provide both lift (vertical thrust) and lateral thrust (horizontal thrust). The vertical and horizontal components of the thrust allow the changing of the altitude, lateral movement and orientation (attitude) of the drone 20. The drone 20 includes a controller 38 having a processing circuit. The controller 38 may include processors that are responsive to operation control methods embodied in application code. These methods are embodied in computer instructions written to be executed by the processor, such as in the form of software. The controller 38 may further include additional circuits, such as but not limited to one or more processors 39, memory circuits 41 and communications circuits 43 for example. The communications circuit may be via a wireless communications medium. The wireless communications medium may include WiFi (e.g. IEEE 802.11), a Bluetooth® (e.g. IEEE 802.15.1 and its successors), RFID, near field communications (NFC), or cellular (e.g. LTE, GSM, EDGE, UMTS, HSPA and 3GPP cellular network technologies) for example. The controller 38 is coupled transmit and receive signals from the thrust devices 24 to determine and change their operational states (e.g. adjust lift from thrust devices 24, change the position of the drone 20). The controller 38 may further be coupled to one or more sensor devices that enable to the controller to determine the position, orientation and altitude of the drone 20. In an embodiment, these sensors may include an altimeter 40, a gyroscope or accelerometers 42 or a global positioning satellite (GPS) system 44. The controller 38 may use these input to operate the thrust devices 24 to move the drone 20 to a predetermined position and orientation, and to maintain the drone 20 in that position and orientation. The drone 20 further includes a movement detection mechanism 46 coupled to communicate with the controller 38. In the exemplary embodiment, the movement detection mechanism includes a projector 48 having a light source 50 and a lens arrangement 52. The light source 50 may include an incoherent (LED) or coherent (laser) light in a visible or non-visible (e.g. infrared) wavelength spectrum. Arranged in a fixed geometric relationship with the projector 48 is at least one camera 54 arranged to receive light emitted from the projector 48 and reflected off an external surface. Each camera 54 includes an optical sensor such as a photosensitive array 56 and a lens 58. The controller 38 may be able to detect movement when an object crosses the path of the emitted light when the image recorded by the camera 54 is interrupted or distorted based on the movement for example. In one embodiment, the projector 48 emits a coded pattern, sometime colloquially referred to as structured light. In this embodiment, 3D coordinates of surfaces within the field of view may be determined based on based on a single image sent from a projector 48 onto the surface and captured by the camera 54. From the use of a single projected and captured image it is possible to determine the distance to the object if a way is provided for determining a correspondence between the projected and imaged points. This may be done, for example, by providing projected pattern elements distinctly recognizable by the camera 54. With the correspondence established the controller 38 uses principles of triangulation to determine the 3D coordinates of surface points of the surfaces within the field of view. In still further embodiments, the projector 48 may scan a spot, project a line, or project a pattern over an area. In one embodiment, the projector 48 includes a MEMS based mirror arrangement for moving the projected light. The controller 38 may be able to detect movement when an object crosses the path of the emitted light pattern when the determined 3D coordinates measured by the movement detection mechanism change for example. In still another embodiment, the projector 48 is omitted and the camera 54 acquires images of the area along a perimeter within a field of view of the camera 54. The controller 38 may use image analysis to determine movement by comparing a currently acquired image with a previously acquired image. Where there is change in the pixels of the current image relative to the previous image, movement may be detected. Still other embodiments may use photogrammetry techniques to monitor active (e.g. LED) or passive (e.g. reflective) targets on an adjacent drone and movement may be detected when the light emitted by or reflected by the targets is interrupted. In still another embodiment, the drone 20 includes an optical receiver 60. The optical receiver 60 may include a photosensitive sensor that is coupled to communicate with controller 38. As will be discussed in more detail herein, the photosensitive sensor may be configured to detect the light emitted from an adjacent drone. Movement may then be detected with the emitted light is interrupted by someone or something breaching a perimeter. In another embodiment, the optical receiver 60 may be a retroreflector type device that reflects received light back along the path that the light was received. In this embodiment, movement may be detected by the drone emitting the light onto the optical receiver 60 when the return light is interrupted. In still further embodiments, the movement detection mechanism 46 may include a RADAR type device, a LIDAR type device, an acoustical device (e.g. a microphone) or a SONAR type device. As will be discussed in more detail herein, a plurality of drones 20 may be arranged about an ad-hoc perimeter to generate a monitoring fence. As used herein, the term monitoring fence means the creation of a continuously and contiguously monitored enclosed boundary where movement or breach of the perimeter may be detected. FIG. 2 illustrates a block diagram of a controller 100 for use in implementing a system or method according to some embodiments. The systems and methods described herein may be implemented in hardware, software (e.g., firmware), or a combination thereof. In some embodiments, the methods described may be implemented, at least in part, in hardware and may be part of the microprocessor of a special or general-purpose controller 38, such as a personal computer, workstation, minicomputer, or mainframe computer. In some embodiments, as shown in FIG. 2, the controller 100 includes a processor 105, memory 110 coupled to a memory controller 115, and one or more input devices 145 and/or output devices 140, such as peripheral or control devices, that are communicatively coupled via a local I/O controller 135. These devices 140 and 145 may include, for example, battery sensors, position sensors, cameras, microphones and the like. Input devices such as a conventional keyboard 150 and mouse 155 may be coupled to the I/O controller. The I/O controller 135 may be, for example, one or more buses or other wired or wireless connections, as are known in the art. The I/O controller 135 may have additional elements, which are omitted for simplicity, such as controllers, buffers (caches), drivers, repeaters, and receivers, to enable communications. The I/O devices 140, 145 may further include devices that communicate both inputs and outputs, for instance disk and tape storage, a network interface card (MC) or modulator/demodulator (for accessing other files, devices, systems, or a network), a radio frequency (RF) or other transceiver, a telephonic interface, a bridge, a router, and the like. The processor 105 is a hardware device for executing hardware instructions or software, particularly those stored in memory 110. The processor 105 may be a custom made or commercially available processor, a central processing unit (CPU), an auxiliary processor among several processors associated with the controller 38, a semiconductor based microprocessor (in the form of a microchip or chip set), a macroprocessor, or other device for executing instructions. The processor 105 includes a cache 170, which may include, but is not limited to, an instruction cache to speed up executable instruction fetch, a data cache to speed up data fetch and store, and a translation lookaside buffer (TLB) used to speed up virtual-to-physical address translation for both executable instructions and data. The cache 170 may be organized as a hierarchy of more cache levels (L1, L2, etc.). The memory 110 may include one or combinations of volatile memory elements (e.g., random access memory, RAM, such as DRAM, SRAM, SDRAM, etc.) and nonvolatile memory elements (e.g., ROM, erasable programmable read only memory (EPROM), electronically erasable programmable read only memory (EEPROM), programmable read only memory (PROM), tape, compact disc read only memory (CD-ROM), disk, diskette, cartridge, cassette or the like, etc.). Moreover, the memory 110 may incorporate electronic, magnetic, optical, or other types of storage media. Note that the memory 110 may have a distributed architecture, where various components are situated remote from one another but may be accessed by the processor 105. The instructions in memory 110 may include one or more separate programs, each of which comprises an ordered listing of executable instructions for implementing logical functions. In the example of FIG. 2, the instructions in the memory 110 include a suitable operating system (OS) 111. The operating system 111 essentially may control the execution of other computer programs and provides scheduling, input-output control, file and data management, memory management, and communication control and related services. Additional data, including, for example, instructions for the processor 105 or other retrievable information, may be stored in storage 120, which may be a storage device such as a hard disk drive or solid state drive. The stored instructions in memory 110 or in storage 120 may include those enabling the processor to execute one or more aspects of the systems and methods of this disclosure. The controller 100 may further include a display controller 125 coupled to a user interface or display 130. In some embodiments, the display 130 may be an LCD screen. In some embodiments, the controller 100 may further include a network interface 160 for coupling to a network 165. The network 165 may be an IP-based network for communication between the controller 38 and an external server, client and the like via a broadband connection. The network 165 transmits and receives data between the controller 38 and external systems. In an embodiment, the external system may be the aerial drone 20, wherein the transmitting and receiving of data allows the controller 100 to determine when a monitoring fence or an ad-hoc perimeter has been breached. In some embodiments, the network 165 may be a managed IP network administered by a service provider. The network 165 may be implemented in a wireless fashion, e.g., using wireless protocols and technologies, such as WiFi, WiMax, satellite, etc. The network 165 may also be a packet-switched network such as a local area network, wide area network, metropolitan area network, the Internet, or other similar type of network environment. The network 165 may be a fixed wireless network, a wireless local area network (LAN), a wireless wide area network (WAN) a personal area network (PAN), a virtual private network (VPN), intranet or other suitable network system and may include equipment for receiving and transmitting signals. Systems and methods according to this disclosure may be embodied, in whole or in part, in computer program products or in controller 100, such as that illustrated in FIG. 2. The monitoring of a perimeter or boundary is a function that has many applications, such as but not limited to security of real estate or portions of real estate, and wireless dog or pet fences for example. These perimeter monitoring systems typically determine when the perimeter has been breached, such as when a dog collar fitted with a transceiver crosses the perimeter for example, and performs an action (e.g. activate the dog collar). These traditional monitoring systems are fixed, meaning they cover a defined boundary and are not generally movable without considerable effort and expense. Also, in the case of a wireless fence for a pet, there are no visual indications on where the perimeter is located, making it difficult for the animal to know how far they can move before being shocked by the collar. Referring now to FIG. 3 and FIG. 4, an embodiment is shown for creating a noncontact monitoring fence 62, such as a laser fence for example, arranged to monitor an ad-hoc perimeter 64. As used herein, an “ad-hoc perimeter” is a boundary having an arbitrary shape defined by a system. The boundary may be of any size or shape, may be dynamically changed, and may be stationary or a moving boundary. It should be appreciated that while embodiments herein describe the ad-hoc perimeter 64 as being disposed about a building, this is for exemplary purposes and the claims should not be so limited. In other embodiments, the ad-hoc perimeter 64 may be established about any object or objects for which the detection of an object, person or animal is desired, such as but not limited to an automobile or a tent for example. Further, while embodiments herein describe the perimeter as being an enclosed shape, this is for exemplary purposes and the claims should not be so limited. In other embodiments, the ad-hoc perimeter may be established along an open shape, such as an open area between two physical fences for example. A method 200 (FIG. 4) is provided for establishing the ad-hoc perimeter 64. The method 200 starts in block 202 where the ad-hoc perimeter 64 is defined, such as about the building 66 for example. The ad-hoc perimeter 64 may be defined by a system operator or based on parameters defined by the operator (e.g. radius 18 meters, 5 meters from the outside of building 66, etc.). The method 200 then proceeds to block 204 where the number of drones 20A-20H is determined. The number of drones used creating the monitoring fence 62 may be based on the type of monitoring fence 62 that is implemented. For example, the monitoring fence 62 of FIG. 3 may be a laser fence created by a emitting a laser light from each drone 20A-20H, such as with projector 48 (FIG. 1) for example. As discussed in more detail herein, the laser light 68A-68H is projected on along a tangent to the perimeter 64 where the drone is positioned. A reflection of the laser light is captured by the camera 54. When an object, person or animal interrupts the light beam, the controller 38 may determine that the perimeter has been breached. By arranging the drones 20 such that the laser light 68A-68H from adjacent drones intersect, overlap or cross, an ad-hoc monitoring fence of the perimeter may be created In one embodiment, to determine the number of drones for creating the monitoring fence 62, the method 200 first determines the number of tangents to the boundary that are needed to determine the length of the laser fence. In one embodiment, the number of drones may be based on the power rating of the available drones. It should be appreciated that the power or strength of the laser light 68A-68H determines the distance for which a particular drone can detect movement or breach of the perimeter. Since not all drones 20A-20H may have the same power or strength laser light, the method 200 may adjust the number of drones used in the monitoring fence 62. For example, some drones 20A, 20C, 20G may have relatively lower power laser light (as indicated by the shorter laser lines 68A, 68C, 68G) compared to other drones 20B, 20D, 20F, 20H (as indicated by the longer laser lines 68B, 68D, 68F, 68H), while a third level of laser light 68E from drone 20E may have a strength or power that is in between. Thus, the number of drones 20A-20H may be changed based on the availability of the drones or the number of drones the operator desires to use. It should be appreciated that the more drones used, the closer the monitoring fence 62 will coincide with the ad-hoc perimeter 64. In one embodiment, when the length of the laser fence is determined, a laser total power parameter is determined for this length. The number of drones is then based on the sum of the laser power of the drones being equal to or greater than the laser total power parameter. With the number of drones determined, the method proceeds to block 206 where the drones are deployed. This may include determining a position for each of the drones 20A-20H and transmitting the positional data to each drone 20A-20H, such as via the communications circuits 43, 160 for example. In an embodiment, the positional data is GPS coordinate data. In another embodiment, the positional data may be relative to another location, such as other drones for example or the building 66 for example. In an embodiment, the perimeter 64 may move and the positional data may include an expected direction and speed. It should be appreciated that the perimeter 64 may be moved on a continuous, periodic or aperiodic basis. As discussed herein, the positional data may be updated and transmitted to the drones 20A-20H on a periodic or aperiodic basis. Once the drones 20A-20H are deployed to their assigned positions, each drone 20A-20H emits the laser light 68A-68H. In one embodiment, each drone 20A-20H includes a pair of projectors 48 and cameras 54 that are arranged to direct laser light in opposite directions (e.g. front and back). The method 200 then proceeds to block 208 where the perimeter 64 is monitored. The monitoring may include detection of movement (e.g. the laser light is interrupted). In other embodiments, the monitoring may include environmental monitoring (e.g. temperature, wind, and precipitation), thermal monitoring (e.g. infrared), image monitoring, acoustical monitoring (e.g. sound, SONAR) and dimensional monitoring (e.g. structured light). The method 200 then proceeds to query block 210 where it is determined if the monitoring fence 62 has been breached. In the embodiment where the monitoring fence 62 is a laser fence, the monitoring may include the detection of the interruption of the laser light beam. When the query block 210 returns a positive, the method 200 proceeds to block 212 where the drone transmits a signal, such as through communications circuits 43, 160 for example. The signal is received by the controller 100 and a predetermined action is performed. In the embodiment where the perimeter 64 defines an area for confining a pet, the predetermined action may include activating a collar on the pet. In other embodiments, the predetermined action may be the transmission of a message (e.g. a cellular text, an email or an alert on a software application), the activation of lights, or the activation of an alarm for example. When the query block 210 returns a negative (no movement detected), the method 200 proceeds to query block 214 where the operational status of the drones 20A-20H is determined. The operational status of a drone may include determining the stored energy levels remaining or the mechanical condition of the drone for example. When the query block 214 returns an indication that a particular drone may have an issue that may impede its ability to maintain a section of the monitoring fence 62, the method 200 proceeds to block 216 where a new drone is deployed to the position of the drone having the issue. The drone having the issue then returns to a home position, such as a recharging station for example. When a new drone is not available to replace the drone having an issue, the method 200 may reposition the remaining drones (e.g. recalculating the tangents) to provide the monitoring fence 62. The drone having the issue then returns to a home position. When the query block 214 returns a normal status, the method 200 loops back to block 208 and monitors the perimeter 64. The embodiment of FIG. 3 illustrated a laser fence having overlapping laser light 68A-68H. Referring now to FIG. 5 another embodiment is illustrated wherein each of the drones 70A-70H are provided with a line-of-sight type laser fence 72. In this embodiment, the drones 70A-70H direct the laser light 74A-74H onto the optical receiver 60A-60H of an adjacent drone. In one embodiment, the optical receiver 60A-60H may be a photosensitive sensor (e.g. a charge coupled device or “CCD” sensor) that detects the light for example. In another embodiment, the optical receiver is a retroreflector device that reflects the laser light 74A-74H back to the emitting drone and captured by the camera 54. In an embodiment, the projectors 48 of the drones may each modulate the emitted laser light (e.g. amplitude or phase modulation) such that each laser light 74A-74H has a different modulation/signature and prevent inadvertent cross-over from an unintended drone or intentional disabling of the laser fence. Referring now to FIG. 6, another embodiment is illustrated wherein each of the drones 76A-76F cooperate with stationary optical receivers 78A-78L. In this embodiment, the drones 76A-76F each emit two laser lights 80A-80F from opposite sides (e.g. front and back) of the drone. The drones 76A-76F are positioned and oriented such that the laser lights 80A-80F intersect with the laser light from adjacent drones. In this manner the monitoring fence 82 encloses the perimeter 64. The stationary optical receivers 78A-78L are positioned and oriented to receive the laser light 80A-80F. In one embodiment, the stationary optical receivers 78A-78L includes a photosensitive sensor that detects the laser light and transmits a signal, such as a wireless signal for example, when the light is interrupted. In one embodiment, the drones 76A-76F are configured to carry and place the stationary optical receivers 78A-78L when the drones 76A-76F are deployed to create the monitoring fence 82. In an embodiment, the drones 76A-76F are further configured to retrieve the optical receivers and return to a home position when the monitoring fence 82 is no longer needed. It should be appreciated that while embodiments herein refer to a controller 100 as controlling and managing the monitoring fence and the drones, this is for exemplary purposes and the claims should not be so limited. In other embodiments, the controlling and managing of the monitoring fence and drones may be performed by a plurality of controllers, a distributed computing environment or a cloud computing environment. It is understood in advance that although this disclosure includes a detailed description on cloud computing, implementation of the teachings recited herein are not limited to a cloud computing environment. Rather, embodiments of the present invention are capable of being implemented in conjunction with any other type of computing environment now known or later developed. Cloud computing is a model of service delivery for enabling convenient, on-demand network access to a shared pool of configurable computing resources (e.g. networks, network bandwidth, servers, processing, memory, storage, applications, virtual machines, and services) that can be rapidly provisioned and released with minimal management effort or interaction with a provider of the service. This cloud model may include at least five characteristics, at least three service models, and at least four deployment models. Characteristics are as follows: On-demand self-service: a cloud consumer can unilaterally provision computing capabilities, such as server time and network storage, as needed automatically without requiring human interaction with the service's provider. Broad network access: capabilities are available over a network and accessed through standard mechanisms that promote use by heterogeneous thin or thick client platforms (e.g., mobile phones, laptops, and PDAs). Resource pooling: the provider's computing resources are pooled to serve multiple consumers using a multi-tenant model, with different physical and virtual resources dynamically assigned and reassigned according to demand. There is a sense of location independence in that the consumer generally has no control or knowledge over the exact location of the provided resources but may be able to specify location at a higher level of abstraction (e.g., country, state, or datacenter). Rapid elasticity: capabilities can be rapidly and elastically provisioned, in some cases automatically, to quickly scale out and rapidly released to quickly scale in. To the consumer, the capabilities available for provisioning often appear to be unlimited and can be purchased in any quantity at any time. Measured service: cloud systems automatically control and optimize resource use by leveraging a metering capability at some level of abstraction appropriate to the type of service (e.g., storage, processing, bandwidth, and active user accounts). Resource usage can be monitored, controlled, and reported providing transparency for both the provider and consumer of the utilized service. Service Models are as follows: Software as a Service (SaaS): the capability provided to the consumer is to use the provider's applications running on a cloud infrastructure. The applications are accessible from various client devices through a thin client interface such as a web browser (e.g., web-based e-mail). The consumer does not manage or control the underlying cloud infrastructure including network, servers, operating systems, storage, or even individual application capabilities, with the possible exception of limited user-specific application configuration settings. Platform as a Service (PaaS): the capability provided to the consumer is to deploy onto the cloud infrastructure consumer-created or acquired applications created using programming languages and tools supported by the provider. The consumer does not manage or control the underlying cloud infrastructure including networks, servers, operating systems, or storage, but has control over the deployed applications and possibly application hosting environment configurations. Infrastructure as a Service (IaaS): the capability provided to the consumer is to provision processing, storage, networks, and other fundamental computing resources where the consumer is able to deploy and run arbitrary software, which can include operating systems and applications. The consumer does not manage or control the underlying cloud infrastructure but has control over operating systems, storage, deployed applications, and possibly limited control of select networking components (e.g., host firewalls). Deployment Models are as follows: Private cloud: the cloud infrastructure is operated solely for an organization. It may be managed by the organization or a third party and may exist on-premises or off-premises. Community cloud: the cloud infrastructure is shared by several organizations and supports a specific community that has shared concerns (e.g., mission, security requirements, policy, and compliance considerations). It may be managed by the organizations or a third party and may exist on-premises or off-premises. Public cloud: the cloud infrastructure is made available to the general public or a large industry group and is owned by an organization selling cloud services. Hybrid cloud: the cloud infrastructure is a composition of two or more clouds (private, community, or public) that remain unique entities but are bound together by standardized or proprietary technology that enables data and application portability (e.g., cloud bursting for load-balancing between clouds). A cloud computing environment is service oriented with a focus on statelessness, low coupling, modularity, and semantic interoperability. At the heart of cloud computing is an infrastructure comprising a network of interconnected nodes. Referring now to FIG. 7, illustrative cloud computing environment 350 is depicted. As shown, cloud computing environment 350 comprises one or more cloud computing nodes 352 with which local computing devices used by cloud consumers, such as, for example, personal digital assistant (PDA) or cellular telephone 354A, desktop computer 354B, laptop computer 354C, and/or automobile computer system 354N may communicate. Nodes 352 may communicate with one another. They may be grouped (not shown) physically or virtually, in one or more networks, such as Private, Community, Public, or Hybrid clouds as described hereinabove, or a combination thereof. This allows cloud computing environment 350 to offer infrastructure, platforms and/or software as services for which a cloud consumer does not need to maintain resources on a local computing device. It is understood that the types of computing devices 354A-N shown in FIG. 12 are intended to be illustrative only and that computing nodes 352 and cloud computing environment 350 can communicate with any type of computerized device over any type of network and/or network addressable connection (e.g., using a web browser). Referring now to FIG. 8, a set of functional abstraction layers provided by cloud computing environment 350 (FIG. 7) is shown. It should be understood in advance that the components, layers, and functions shown in FIG. 8 are intended to be illustrative only and embodiments of the invention are not limited thereto. As depicted, the following layers and corresponding functions are provided: Hardware and software layer 360 includes hardware and software components. Examples of hardware components include: mainframes 361; RISC (Reduced Instruction Set Computer) architecture based servers 362; servers 363; blade servers 364; storage devices 365; and networks and networking components 366. In some embodiments, software components include network application server software 367 and database software 368. Virtualization layer 370 provides an abstraction layer from which the following examples of virtual entities may be provided: virtual servers 371; virtual storage 372; virtual networks 373, including virtual private networks; virtual applications and operating systems 374; and virtual clients 375. In one example, management layer 380 may provide the functions described below. Resource provisioning 381 provides dynamic procurement of computing resources and other resources that are utilized to perform tasks within the cloud computing environment. Metering and Pricing 382 provide cost tracking as resources are utilized within the cloud computing environment, and billing or invoicing for consumption of these resources. In one example, these resources may comprise application software licenses. Security provides identity verification for cloud consumers and tasks, as well as protection for data and other resources. User portal 383 provides access to the cloud computing environment for consumers and system administrators. Service level management 384 provides cloud computing resource allocation and management such that required service levels are met. Service Level Agreement (SLA) planning and fulfillment 385 provides pre-arrangement for, and procurement of, cloud computing resources for which a future requirement is anticipated in accordance with an SLA. Workloads layer 390 provides examples of functionality for which the cloud computing environment may be utilized. Examples of workloads and functions which may be provided from this layer include: mapping and navigation 391; software development and lifecycle management 392; virtual classroom education delivery 393; data analytics processing 394; transaction processing 395; and a drone positioning and monitoring fence management 396. The drone positioning and monitoring fence management 396 may perform one or more methods that allow the creation of a monitoring fence about an ad-hoc perimeter, such as but not limited to the methods described in reference to FIG. 4 for example. Technical effects and benefits of some embodiments include the creation of a monitoring fence about an ad-hoc perimeter to allow an operator to monitor an arbitrarily defined area. Further technical effects and benefits of some embodiments include the creation of an enclosed ad-hoc area for confining a pet and providing visual clues to the pet as to the location of the perimeter. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiments were chosen and described in order to best explain the principles of the invention and the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated. The present invention may be a system, a method, and/or a computer program product. The computer program product may include a computer readable storage medium (or media) having computer readable program instructions thereon for causing a processor to carry out aspects of the present invention. The computer readable storage medium can be a tangible device that can retain and store instructions for use by an instruction execution device. The computer readable storage medium may be, for example, but is not limited to, an electronic storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing. A non-exhaustive list of more specific examples of the computer readable storage medium includes the following: a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), a static random access memory (SRAM), a portable compact disc read-only memory (CD-ROM), a digital versatile disk (DVD), a memory stick, a floppy disk, a mechanically encoded device such as punch-cards or raised structures in a groove having instructions recorded thereon, and any suitable combination of the foregoing. A computer readable storage medium, as used herein, is not to be construed as being transitory signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through a waveguide or other transmission media (e.g., light pulses passing through a fiber-optic cable), or electrical signals transmitted through a wire. Computer readable program instructions described herein can be downloaded to respective computing/processing devices from a computer readable storage medium or to an external computer or external storage device via a network, for example, the Internet, a local area network, a wide area network and/or a wireless network. The network may comprise copper transmission cables, optical transmission fibers, wireless transmission, routers, firewalls, switches, gateway computers and/or edge servers. A network adapter card or network interface in each computing/processing device receives computer readable program instructions from the network and forwards the computer readable program instructions for storage in a computer readable storage medium within the respective computing/processing device. Computer readable program instructions for carrying out operations of the present invention may be assembler instructions, instruction-set-architecture (ISA) instructions, machine instructions, machine dependent instructions, microcode, firmware instructions, state-setting data, or either source code or object code written in any combination of one or more programming languages, including an object oriented programming language such as Java, Smalltalk, C++ or the like, and conventional procedural programming languages, such as the “C” programming language or similar programming languages. The computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the latter scenario, the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider). In some embodiments, electronic circuitry including, for example, programmable logic circuitry, field-programmable gate arrays (FPGA), or programmable logic arrays (PLA) may execute the computer readable program instructions by utilizing state information of the computer readable program instructions to personalize the electronic circuitry, in order to perform aspects of the present invention. Aspects of the present invention are described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to embodiments of the invention. It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer readable program instructions. These computer readable program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks. These computer readable program instructions may also be stored in a computer readable storage medium that can direct a computer, a programmable data processing apparatus, and/or other devices to function in a particular manner, such that the computer readable storage medium having instructions stored therein comprises an article of manufacture including instructions which implement aspects of the function/act specified in the flowchart and/or block diagram block or blocks. The computer readable program instructions may also be loaded onto a computer, other programmable data processing apparatus, or other device to cause a series of operational steps to be performed on the computer, other programmable apparatus or other device to produce a computer implemented process, such that the instructions which execute on the computer, other programmable apparatus, or other device implement the functions/acts specified in the flowchart and/or block diagram block or blocks. The flowchart and block diagrams in the Figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods, and computer program products according to various embodiments of the present invention. In this regard, each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s). In some alternative implementations, the functions noted in the block may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions. The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.",G08B132491,G08B1324,20160104,20170815,20170706,66649.0 42,14991290,PENDING,ABNORMAL BRAKE BEHAVIOR DETECTION,"The present disclosure relates to brake control and monitoring, and more particularly, to a brake control and monitoring system that monitors brake actuation force.","1. A force sensing braking module comprising: a control and sense wire harness; a load cell disposed in a load path between a plurality of motors and an aircraft main landing gear brake stack, wherein the load cell provides a measured actuation force signal representative of a force exerted by the plurality of motors on the aircraft main landing gear brake stack to the control and sense wire harness; and a junction box configured to selectably connect the control and sense wire harness to an aircraft brake actuator, wherein the measured actuation force signal may be received and logged by a method of brake actuation force logging. 2. The force sensing braking module according to claim 1, wherein the control and sense wire harness comprises: sense wiring in electrical connectivity with the load cell and terminated in a connector configured to be received by the junction box, whereby the load cell is field-replaceable. 3. The force sensing braking module according to claim 2, wherein the control and sense wire harness comprises: control wiring in electrical connectivity with the plurality of motors and terminated in the connector configured to be received by the junction box, whereby each of the plurality of motors is field-replaceable. 4. The force sensing braking module according to claim 3, wherein the aircraft brake actuator in electrical connectivity with the junction box and is configured to transmit a force command to the plurality of motors via the control wiring. 5. A aircraft brake control and monitoring system, comprising: a force sensor system comprising a plurality of force sensors in mechanical communication with a plurality of brakes; and a processor configured to receive force measurements of each of the plurality of brakes from the plurality of force sensors, the force measurements defined as a force data set, the processor further configured to calculate a force data centrality value based on the force data set, the processor further configured to calculate a force deviation value for each of the plurality of brakes based on the relative forces measured for each of the plurality of brakes compared to the force data centrality value, wherein the processor assigns a health status for each of the plurality of brakes. 6. The aircraft brake control and monitoring system of claim 5, wherein the processor assigns a health status for each of the plurality of brakes by analyzing the force deviation value for each of the plurality of brakes via a process control tool. 7. The aircraft brake control and monitoring system of claim 5, wherein the force sensor system is configured to obtain multiple brake force data sets over multiple time instances. 8. The aircraft brake control and monitoring system of claim 5, wherein each of the force measurements of each of the plurality of brakes is an extreme force of the specific brake. 9. The aircraft brake control and monitoring system of claim 5, further comprising multiple processors, wherein a communicator system is configured to transmit the force data set over the multiple processors via at least one of a wireless transmission, and a wired transmission. 10. The aircraft brake control and monitoring system of claim 5, wherein the force data centrality value comprises at least one of a median value, and a mean value; and wherein the force deviation value comprises one of an absolute difference value, or a ratio value. 11. The aircraft brake control and monitoring system of claim 5, wherein the processor assigns a health status via an individual moving range chart. 12. An aircraft brake control and monitoring system comprising: an electrical brake actuation controller; a first aircraft brake actuator connected to the electrical brake actuation controller and controllable by the electrical brake actuation controller; and a first braking unit connected to the first aircraft brake actuator configured to produce a first measured actuation force signal in response to a first magnitude of a first braking force. 13. The aircraft brake control and monitoring system according to claim 12, further comprising: a second aircraft brake actuator connected to the electrical brake actuation controller and controllable by the electrical brake actuation controller; and a second braking unit connected to the second aircraft brake actuator and configured to produce a second measured actuation force signal in response to a second magnitude of a second braking force. 14. The aircraft brake control and monitoring system according to claim 12, wherein the first aircraft brake actuator is configured to transmit a first force command and to receive the first measured actuation force signal; and further comprising a first force sensing braking module configured to transmit the first measured actuation force signal in response to the first magnitude of the first braking force. 15. The aircraft brake control and monitoring system according to claim 14, wherein the first force sensing braking module comprises: a control and sense wire harness whereby the first measured actuation force signal is communicated to the first aircraft brake actuator. 16. The aircraft brake control and monitoring system according to claim 15, wherein the first force sensing braking module comprises: a junction box in electrical connectivity with the first aircraft brake actuator and connectable to the control and sense wire harness of the first force sensing braking module. 17. The aircraft brake control and monitoring system according to claim 15, wherein the first force sensing braking module comprises a load cell generating the first measured actuation force signal. 18. The aircraft brake control and monitoring system according to claim 17, wherein the control and sense wire harness comprises: control wiring connected to a plurality of motors; and sense wiring connected to the load cell. 19. The aircraft brake control and monitoring system of claim 17, further comprising a brake stack. 20. The aircraft brake control and monitoring system of claim 19, wherein the brake stack comprises an aircraft main landing gear brake stack."," BACKGROUND Brake behavior monitoring systems, which may be utilized to indicate the health status of the aircraft brake system, or to indicate a problem with the brake system, may compare a measured brake actuation force to a predetermined value, such as a desired actuator braking force/desired brake torque. Another method may involve comparing the difference between brake forces for brakes on the same axle to a predetermined value. As accurate and early detection of possible mechanical faults in the brakes of an aircraft brake system is important for safety and airline service efficiency, improved methods of determining health status of the aircraft brake system are desired."," SUMMARY A force sensing braking module is disclosed. The force sensing braking module includes a control and sense wire harness, and a load cell disposed in a load path between a plurality of motors and an aircraft main landing gear brake stack. The load cell provides a measured actuation force signal representative of a force exerted by the plurality of motors on the aircraft main landing gear brake stack to the control and sense wire harness. The module further includes a junction box configured to selectably connect the control and sense wire harness to an aircraft brake actuator, wherein the measured actuation force signal may be received and logged by a method of brake actuation force logging. In various embodiments, the control and sense wire harness includes signal wiring in electrical connectivity with the load cell and terminated in a connector configured to be received by the junction box, whereby the load cell is field-replaceable. In various embodiments, the control and sense wire harness includes control wiring in electrical connectivity with the plurality of motors and terminated in the connector configured to be received by the junction box, whereby each of the plurality of motors is field-replaceable. In various embodiments, the aircraft brake actuator in electrical connectivity with the junction box and is configured to transmit a force command to the plurality of motors via the control wiring. In various embodiments, the aircraft brake actuator is further configured to log the measured actuation force signal from the load cell by a method of brake actuation force logging. A method of brake actuation force logging is disclosed. The method may include receiving, by a motor of a braking unit, a force command including a braking instruction, actuating the motor of the braking unit, wherein a braking force is applied to an aircraft main landing gear brake stack in response to the force command, quantizing, by a load cell of the force sensing braking module, the braking force applied by the motor to the aircraft main landing gear brake stack, and transmitting, by the load cell, a measured actuation force signal via a sense wiring of the control and sense wire harness to the junction box. The method may comprise logging by a memory of the force sensing braking module, the measured actuation force signal. The measured actuation force signal may be conveyed from the junction box to at least one of an EBAC, ABSC, and bus. An aircraft brake actuator may transmit to a junction box of a force sensing braking module, a force command. The junction box may connect through control wiring of a control and sense wire harness to the motor of the braking unit. The method may include receiving a brake actuation force log retrieval request, and retrieving data representative of a logged measured actuation force signal from the memory. An aircraft brake control and monitoring system is disclosed. The system may include an aircraft brake actuator configured to transmit a force command and to receive and log a measured actuation force signal, a braking unit including a plurality of motors configured to receive the force command and actuate a brake stack in response to the force command, and a force sensing braking module configured to transmit the measured actuation force signal in response to a magnitude of a braking force. In various embodiments, the force sensing braking module further includes a control and sense wire harness whereby the force command is communicated between the aircraft brake actuator and the plurality of motors and whereby the measured actuation force signal is communicated between the aircraft brake actuator and a load cell. In various embodiments, a junction box is in electrical connectivity with the aircraft brake actuator and connectable to the control and sense wire harness of the force sensing braking module. In various embodiments, the force sensing braking module includes a load cell configured to generate the measured actuation force signal and a control and sense wire harness including control wiring connected to the plurality of motors and sense wiring connected to the load cell. In various embodiments, the braking unit further includes the brake stack. In various embodiments, the force sensing braking module further includes a junction box in electrical connectivity with the aircraft brake actuator and connectable to the control and sense wire harness of the force sensing braking module. An aircraft brake control and monitoring system is disclosed. The system may include an electrical brake actuation controller, and a first aircraft brake actuator connected to the electrical brake actuation controller and controllable by the electrical brake actuation controller, and a first braking unit connected to the first aircraft brake actuator configured to produce a first measured actuation force signal in response to a first magnitude of a first braking force. In various embodiments, a second aircraft brake actuator is connected to the electrical brake actuation controller and controllable by the electrical brake actuation controller, and a second braking unit is connected to the second aircraft brake actuator and configured to produce a second measured actuation force signal in response to a second magnitude of a second braking force. In various embodiments, the first aircraft brake actuator is configured to transmit a first force command and to receive the first measured actuation force signal, and a first force sensing braking module is configured to transmit the first measured actuation force signal in response to the first magnitude of the first braking force. In various embodiments, the first force sensing braking module includes a control and sense wire harness whereby the first measured actuation force signal is communicated to the first aircraft brake actuator. In various embodiments, the first force sensing braking module includes a junction box in electrical connectivity with the first aircraft brake actuator and connectable to the control and sense wire harness of the first force sensing braking module. In various embodiments, the first force sensing braking module includes a load cell generating the first measured actuation force signal. In various embodiments, the control and sense wire harness includes control wiring connected to a plurality of motors, and sense wiring connected to the load cell. In various embodiments, the aircraft brake control and monitoring system further includes a brake stack. In various embodiments, the brake stack includes an aircraft main landing gear brake stack. In accordance with various embodiments, disclosed is a aircraft brake control and monitoring system, including a force sensor system including a plurality of force sensors in mechanical communication with a plurality of brakes; and a processor configured to receive force measurements of each of the plurality of brakes from the plurality of force sensors, the force measurements defined as a force data set, the processor further configured to calculate a force data centrality value based on the force data set, the processor further configured to calculate a force deviation value for each of the plurality of brakes based on the relative forces measured for each of the plurality of brakes compared to the force data centrality value, wherein the processor assigns a health status for each of the plurality of brakes. In one embodiment, the processor assigns a health status for each of the plurality of brakes by analyzing the force deviation value for each of the plurality of brakes via a process control tool. In one embodiment, each of the plurality of brakes is operatively coupled to a wheel of a landing gear of an aircraft. In one embodiment, the force sensor system is configured to obtain multiple brake force data sets over multiple time instances. In one embodiment, the multiple time instances are separated by regular time intervals. In one embodiment, the multiple brake force data sets are obtained from a single flight. In one embodiment, the force sensor system is configured to obtain brake force data from a single flight. In one embodiment, the brake force data is obtained post flight. In one embodiment, each of the force measurements of each of the plurality of brakes is an extreme force of the specific brake. In one embodiment, the aircraft brake control and monitoring system further comprise multiple processors, wherein a communicator system is configured to transmit the force data set over the multiple processors via at least one of a wireless transmission, and a wired transmission. In one embodiment, the force data centrality value comprises at least one of a median value, and a mean value. In one embodiment, the force deviation value comprises one of an absolute difference value, or a ratio value. In one embodiment, the processor assigns a health status via an individual moving range chart. According to various embodiments, disclosed is a aircraft brake control and monitoring system for monitoring a health status of a brake system of an aircraft, the aircraft brake control and monitoring system including at least one force sensor in mechanical communication with a plurality of brakes of the brake system, the at least one force sensor configured to obtain a brake force data for the plurality of brakes, the brake force data including at least one brake force data set including a plurality of brake forces obtained at a specific time, wherein each brake force of the plurality of brake forces is associated with a specific brake of the plurality of brakes; at least one processor configured to receive the brake force data; a communicator system configured to transmit the brake force data from the at least one force sensor to the at least one processor; wherein the at least one processor is configured to determine a force data centrality value based on the brake force data; wherein the at least one processor is configured to compare the brake force data, with the force data centrality value, by the at least one processor, to generate a force deviation value data set including a plurality of deviation values, wherein each deviation value of the plurality of deviation values is associated with a specific brake of the plurality of brakes; and wherein the at least one processor is configured to analyze the force deviation value data set via a process control tool. In one embodiment, the process control tool is an individual-moving range chart. In one embodiment, the force data centrality value comprises at least one of a median value, and a mean value. In one embodiment, the brake force data comprises multiple brake force data sets obtained over multiple time instances, and over at least one particular time of interest. According to various embodiments, disclosed is a method of monitoring health of a braking system, including measuring forces of a plurality of brakes; calculating a centrality value for the measured forces, the centrality value based on the measured forces; and assigning a health status to each of the plurality of brakes based on a relationship between the centrality value and the measured force for each of the plurality of brakes. In one embodiment, the method further comprises calculating deviations of each of the measured forces from the centrality value. In one embodiment, the method further comprises indicating the health status for each of the plurality of brakes. In one embodiment, the method further comprises measuring forces of a plurality of brakes over multiple time instances. In one embodiment, the multiple time instances are separated by regular time intervals.","FIELD The present disclosure relates to brakes, and more particularly, to a system that detects abnormal brake behavior. BACKGROUND Brake behavior monitoring systems, which may be utilized to indicate the health status of the aircraft brake system, or to indicate a problem with the brake system, may compare a measured brake actuation force to a predetermined value, such as a desired actuator braking force/desired brake torque. Another method may involve comparing the difference between brake forces for brakes on the same axle to a predetermined value. As accurate and early detection of possible mechanical faults in the brakes of an aircraft brake system is important for safety and airline service efficiency, improved methods of determining health status of the aircraft brake system are desired. SUMMARY A force sensing braking module is disclosed. The force sensing braking module includes a control and sense wire harness, and a load cell disposed in a load path between a plurality of motors and an aircraft main landing gear brake stack. The load cell provides a measured actuation force signal representative of a force exerted by the plurality of motors on the aircraft main landing gear brake stack to the control and sense wire harness. The module further includes a junction box configured to selectably connect the control and sense wire harness to an aircraft brake actuator, wherein the measured actuation force signal may be received and logged by a method of brake actuation force logging. In various embodiments, the control and sense wire harness includes signal wiring in electrical connectivity with the load cell and terminated in a connector configured to be received by the junction box, whereby the load cell is field-replaceable. In various embodiments, the control and sense wire harness includes control wiring in electrical connectivity with the plurality of motors and terminated in the connector configured to be received by the junction box, whereby each of the plurality of motors is field-replaceable. In various embodiments, the aircraft brake actuator in electrical connectivity with the junction box and is configured to transmit a force command to the plurality of motors via the control wiring. In various embodiments, the aircraft brake actuator is further configured to log the measured actuation force signal from the load cell by a method of brake actuation force logging. A method of brake actuation force logging is disclosed. The method may include receiving, by a motor of a braking unit, a force command including a braking instruction, actuating the motor of the braking unit, wherein a braking force is applied to an aircraft main landing gear brake stack in response to the force command, quantizing, by a load cell of the force sensing braking module, the braking force applied by the motor to the aircraft main landing gear brake stack, and transmitting, by the load cell, a measured actuation force signal via a sense wiring of the control and sense wire harness to the junction box. The method may comprise logging by a memory of the force sensing braking module, the measured actuation force signal. The measured actuation force signal may be conveyed from the junction box to at least one of an EBAC, ABSC, and bus. An aircraft brake actuator may transmit to a junction box of a force sensing braking module, a force command. The junction box may connect through control wiring of a control and sense wire harness to the motor of the braking unit. The method may include receiving a brake actuation force log retrieval request, and retrieving data representative of a logged measured actuation force signal from the memory. An aircraft brake control and monitoring system is disclosed. The system may include an aircraft brake actuator configured to transmit a force command and to receive and log a measured actuation force signal, a braking unit including a plurality of motors configured to receive the force command and actuate a brake stack in response to the force command, and a force sensing braking module configured to transmit the measured actuation force signal in response to a magnitude of a braking force. In various embodiments, the force sensing braking module further includes a control and sense wire harness whereby the force command is communicated between the aircraft brake actuator and the plurality of motors and whereby the measured actuation force signal is communicated between the aircraft brake actuator and a load cell. In various embodiments, a junction box is in electrical connectivity with the aircraft brake actuator and connectable to the control and sense wire harness of the force sensing braking module. In various embodiments, the force sensing braking module includes a load cell configured to generate the measured actuation force signal and a control and sense wire harness including control wiring connected to the plurality of motors and sense wiring connected to the load cell. In various embodiments, the braking unit further includes the brake stack. In various embodiments, the force sensing braking module further includes a junction box in electrical connectivity with the aircraft brake actuator and connectable to the control and sense wire harness of the force sensing braking module. An aircraft brake control and monitoring system is disclosed. The system may include an electrical brake actuation controller, and a first aircraft brake actuator connected to the electrical brake actuation controller and controllable by the electrical brake actuation controller, and a first braking unit connected to the first aircraft brake actuator configured to produce a first measured actuation force signal in response to a first magnitude of a first braking force. In various embodiments, a second aircraft brake actuator is connected to the electrical brake actuation controller and controllable by the electrical brake actuation controller, and a second braking unit is connected to the second aircraft brake actuator and configured to produce a second measured actuation force signal in response to a second magnitude of a second braking force. In various embodiments, the first aircraft brake actuator is configured to transmit a first force command and to receive the first measured actuation force signal, and a first force sensing braking module is configured to transmit the first measured actuation force signal in response to the first magnitude of the first braking force. In various embodiments, the first force sensing braking module includes a control and sense wire harness whereby the first measured actuation force signal is communicated to the first aircraft brake actuator. In various embodiments, the first force sensing braking module includes a junction box in electrical connectivity with the first aircraft brake actuator and connectable to the control and sense wire harness of the first force sensing braking module. In various embodiments, the first force sensing braking module includes a load cell generating the first measured actuation force signal. In various embodiments, the control and sense wire harness includes control wiring connected to a plurality of motors, and sense wiring connected to the load cell. In various embodiments, the aircraft brake control and monitoring system further includes a brake stack. In various embodiments, the brake stack includes an aircraft main landing gear brake stack. In accordance with various embodiments, disclosed is a aircraft brake control and monitoring system, including a force sensor system including a plurality of force sensors in mechanical communication with a plurality of brakes; and a processor configured to receive force measurements of each of the plurality of brakes from the plurality of force sensors, the force measurements defined as a force data set, the processor further configured to calculate a force data centrality value based on the force data set, the processor further configured to calculate a force deviation value for each of the plurality of brakes based on the relative forces measured for each of the plurality of brakes compared to the force data centrality value, wherein the processor assigns a health status for each of the plurality of brakes. In one embodiment, the processor assigns a health status for each of the plurality of brakes by analyzing the force deviation value for each of the plurality of brakes via a process control tool. In one embodiment, each of the plurality of brakes is operatively coupled to a wheel of a landing gear of an aircraft. In one embodiment, the force sensor system is configured to obtain multiple brake force data sets over multiple time instances. In one embodiment, the multiple time instances are separated by regular time intervals. In one embodiment, the multiple brake force data sets are obtained from a single flight. In one embodiment, the force sensor system is configured to obtain brake force data from a single flight. In one embodiment, the brake force data is obtained post flight. In one embodiment, each of the force measurements of each of the plurality of brakes is an extreme force of the specific brake. In one embodiment, the aircraft brake control and monitoring system further comprise multiple processors, wherein a communicator system is configured to transmit the force data set over the multiple processors via at least one of a wireless transmission, and a wired transmission. In one embodiment, the force data centrality value comprises at least one of a median value, and a mean value. In one embodiment, the force deviation value comprises one of an absolute difference value, or a ratio value. In one embodiment, the processor assigns a health status via an individual moving range chart. According to various embodiments, disclosed is a aircraft brake control and monitoring system for monitoring a health status of a brake system of an aircraft, the aircraft brake control and monitoring system including at least one force sensor in mechanical communication with a plurality of brakes of the brake system, the at least one force sensor configured to obtain a brake force data for the plurality of brakes, the brake force data including at least one brake force data set including a plurality of brake forces obtained at a specific time, wherein each brake force of the plurality of brake forces is associated with a specific brake of the plurality of brakes; at least one processor configured to receive the brake force data; a communicator system configured to transmit the brake force data from the at least one force sensor to the at least one processor; wherein the at least one processor is configured to determine a force data centrality value based on the brake force data; wherein the at least one processor is configured to compare the brake force data, with the force data centrality value, by the at least one processor, to generate a force deviation value data set including a plurality of deviation values, wherein each deviation value of the plurality of deviation values is associated with a specific brake of the plurality of brakes; and wherein the at least one processor is configured to analyze the force deviation value data set via a process control tool. In one embodiment, the process control tool is an individual-moving range chart. In one embodiment, the force data centrality value comprises at least one of a median value, and a mean value. In one embodiment, the brake force data comprises multiple brake force data sets obtained over multiple time instances, and over at least one particular time of interest. According to various embodiments, disclosed is a method of monitoring health of a braking system, including measuring forces of a plurality of brakes; calculating a centrality value for the measured forces, the centrality value based on the measured forces; and assigning a health status to each of the plurality of brakes based on a relationship between the centrality value and the measured force for each of the plurality of brakes. In one embodiment, the method further comprises calculating deviations of each of the measured forces from the centrality value. In one embodiment, the method further comprises indicating the health status for each of the plurality of brakes. In one embodiment, the method further comprises measuring forces of a plurality of brakes over multiple time instances. In one embodiment, the multiple time instances are separated by regular time intervals. BRIEF DESCRIPTION OF THE DRAWINGS The subject matter of the present disclosure is particularly pointed out and distinctly claimed in the concluding portion of the specification. A more complete understanding of the present disclosure, however, may best be obtained by referring to the detailed description and claims when considered in connection with the drawing figures, wherein like numerals denote like elements. FIG. 1 depicts an example aircraft braking control and monitoring system in accordance with various embodiments; FIG. 2 depicts a braking unit of an aircraft braking and control monitoring system in accordance with various embodiments; FIG. 3A depicts a flowchart illustrating a method of brake actuation force logging in accordance with various embodiments; FIG. 3B depicts a flowchart illustrating a method of brake actuation force retrieval in accordance with various embodiments; FIG. 4 shows an aircraft comprising a brake system, according to various embodiments; FIG. 5 shows a brake force monitoring system, for the brake system of the aircraft of FIG. 4, according to various embodiments; and FIG. 6 is a flow chart for a brake monitoring method for the brake force monitoring system of FIG. 5, according to various embodiments. DETAILED DESCRIPTION The detailed description of exemplary embodiments herein makes reference to the accompanying drawings, which show exemplary embodiments by way of illustration and their best mode. While these exemplary embodiments are described in sufficient detail to enable those skilled in the art to practice the disclosure, it should be understood that other embodiments may be realized and that logical changes may be made without departing from the spirit and scope of the disclosure. Thus, the detailed description herein is presented for purposes of illustration only and not of limitation. For example, the steps recited in any of the method or process descriptions may be executed in any order and are not necessarily limited to the order presented. Furthermore, any reference to singular includes plural embodiments, and any reference to more than one component or step may include a singular embodiment or step. As disclosed, in various embodiments, an indicator (brake actuator force feedback) may monitor the health of the brake to predict performance deterioration and/or failure, and to indicate maintenance action in order to preclude a service interruption. Furthermore, flight delays and cancellations may be ameliorated. For instance, an electric brake actuator (EBA) may overdrive for various reasons and result in a hot brake, which may thermally damage a landing gear axle. Replacing an axle in service is expensive and lengthy, which may result in excessive delays in which the aircraft is out revenue service for up to several days. In various embodiments, the disclosure contemplates a health monitoring and prognostics system with a force sensor (load cell) or force estimator system having a plurality of force sensors/estimations in communication with a plurality of brakes. A processor may be configured to receive force measurements, or estimated forces based on a actuator controller algorithm. The force measurement or estimations may be defined as the actuation force dataset. The processor may be configured in numerous ways; for example, to compare the measured or estimated dataset to a reference signature, to that of other load cells from the same brake or to their average, or to all the load cells across all the brakes on the aircraft, to various alert limits (high or low), or to various persistence analytics using statistical process control tools, for example. The present disclosure relates to an aircraft brake control and monitoring system 2. With reference to FIGS. 1 and 2, an aircraft brake control and monitoring system 2 in accordance with various embodiments, may have an aircraft brake and steering controller (“ABSC”) 101 in communication via a bus 110 with an electrical brake actuation controller 120 (“EBAC”). The EBAC 120 may be responsible for executing brake actuation instructions received from the ABSC 101. The EBAC 120 may direct aircraft brake actuator(s) 130 to cause aircraft main landing gear brake stacks 153 of braking units 121 to mechanically operate in response to these brake actuation instructions. Thus, the directions provided by the EBAC 120 to the aircraft brake actuators 130 maybe called “force commands” delivered to aircraft brake actuator(s) 130 in response to the brake actuation instructions received from the ABSC 101. Moreover, the EBAC 120 may provide force commands to more than one aircraft brake actuator 130. Multiple aircraft brake actuators 130 may be disposed in parallel to provide system redundancy and/or multiple aircraft brake actuators 130 may be arranged to direct multiple braking units 121 to actuate as depicted in FIG. 1. In various embodiments, the EBAC 120 may direct the aircraft brake actuators 130 to operate in concert, or independently, depending on desired braking behavior. The aircraft brake actuator(s) 130 may comprise a processor configured to receive a force command from an EBAC 120 and generate a signal having a voltage, current, and waveform calibrated to impel a desired mechanical braking force to be exerted on a rotating structure (“actuator signal”) by aspects of a braking unit 121. For instance, the actuator signal may be a signal to the motors 151 of the braking unit 121 so that the motors 151 exert a specific amount of force on an aircraft main landing gear brake stack 153. Each aircraft brake actuator 130 may thus drive one or more motor 151 associated with one or more aircraft main landing gear brake stack 153 of one or more braking unit 121. In various embodiments, each aircraft main landing gear brake stack 153 has four associated motors 151. In various embodiments, all four motors 151 are driven in concert by a single aircraft brake actuator 130, or a pair of aircraft brake actuators 130 in parallel for redundancy. The force commands and brake actuation instructions may comprise signals from various different sources. For example, the force command and/or brake actuation instructions may be an interpretation of the pilot's brake pedal application. The force command and/or brake actuation instructions may be an interpretation of manual and/or auto-brake instructions corresponding to a desired aircraft deceleration rate. The force command and/or brake actuation instructions may be a combination of inputs from various different sources. For example, during a braking event, the ABSC 101 may superimpose a brake release command on top of the force command and/or brake actuation instructions. The brake release command may free up a locked and/or skidding wheel. For example, an anti-skid and/or anti-lock brake command may be superimposed on a force command generated by, for example, a brake pedal. In various embodiments, a mechanism for monitoring the force associated with each braking unit 121 and/or braking event may be desired, such as for maintenance purposes. For instance, a part manufacturer, or an aircraft operator may desire to retrieved logged data depicting historical forces associated with each braking unit 121. A mechanism for monitoring the force associated with each braking unit 121 and/or braking event may also be desired for in-flight safety purposes, such as to ameliorate brake overheating, uneven brake wear, or uneven braking forces. As such, mechanical faults in the brake system may be detected. For example, by monitoring the force associated with each braking unit 121, failures may be predicted or performance degradation identified and preemptive maintenance action may be taken to prevent a service interruption. As will be discussed, each braking unit 121 comprises an aircraft main landing gear brake stack 153, and a force sensing braking module 142, which among other aspects, includes a load cell 160 associated with the aircraft main landing gear brake stack 153 so that the force associated with the braking unit 121 can be monitored. A braking unit 121 may comprise various components whereby mechanical braking force is exerted on a rotating structure, such as an aircraft brake rotor, and whereby signals representative of the magnitude of the braking force are generated (“measured actuation force signal”). For instance, a braking unit 121 may comprise a plurality of motors 151 which receive brake actuation instructions from one or more aircraft brake actuator(s) 130 to cause a braking force on an aircraft main landing gear brake stack 153. A braking unit 121 may comprise an aircraft main landing gear brake stack 153, as mentioned. An aircraft main landing gear brake stack 153 may be a frictional apparatus configured to receive mechanical energy from a plurality of motors 151, for instance, four, and exert a variable coefficient of friction on a rotating mass variable in response to the magnitude of force exerted by the motors 151. For instance, an aircraft main landing gear brake stack 153 may comprise one or more rotors and stators wherein the stators press against the rotors as the rotors move, to cause the rotors to decelerate and/or to resist accelerating. A braking unit 121 may comprise motors 151. In various embodiments, four motors 151 are associated with each aircraft main landing gear brake stack 153 (and each braking unit 121 includes one aircraft main landing gear brake stack 153, so that four motors 151 are associated with each braking unit 121). A motor 151 may comprise a force generating apparatus configured to convert electrical energy into mechanical energy. For instance, a motor 151 may comprise a motor and/or hydraulic actuator and/or other aspects, such as a ball screw, and/or gearing. The braking unit 121 may also have a force sensing braking module 142 which comprises aspects configured to evaluate the force on the aircraft main landing gear brake stack 153. A force sensing braking module 142 comprises a collection of features whereby the force exerted by the motors 151 on the aircraft main landing gear brake stack 153 may be evaluated. The force sensing braking module 142 may comprise a junction box 140. A junction box 140 may comprise a selectable connection site for the selectable electrical connection of other aspects of the force sensing braking module 142 to surrounding systems, such as to the aircraft brake actuators 130 (and/or EBAC 120) and to the motors 151. The load cell 160 of the force sensing braking module 142 may transmit a measured actuation force signal to the aircraft brake actuator 130 via the junction box 140. The motors 151 of the braking unit 121 may also utilize the junction box 140 of the force sensing braking module 142. For instance, the motors 151 may receive an actuator signal from the aircraft brake actuator 130 via the junction box 140. Thus, one may appreciate that the force sensing braking module 142 also may comprise a control and sense wire harness 145. The control and sense wire harness 145 may provide the electrical connection of the plurality of motors 151 of the braking unit 121 and the electrical connection of the load cell 160 of the force sensing braking module 142 to the other aircraft systems, such as aircraft brake actuators 130. The control and sense wire harness 145 may comprise control wiring 170 and sense wiring 180. Control wiring 170 may conduct the actuator signal from the junction box 140 to the motor(s) 151 of the braking unit 121. Sense wiring 180 may conduct the measured actuation force signal (a packetized data signal, a voltage, or a current, and/or the like) from the load cell 160 of the force sensing braking module 142 to the junction box 140. The control and sense wire harness 145 may be connectorized with selectably connectable connectors. For example, the control and sense wire harness 145 may be fitted with connectors whereby it may be field-disconnectable from the junction box 140, such as during maintenance and replacement operations. In this manner, junction box 140 provides for ready field replacement of both motors 151 of the braking unit 121 and also load cells 160 of the force sensing braking module 142. Finally, the braking unit 121 may comprise a load cell 160. The load cell 160 may comprise a sensor disposed in a load path of the aircraft main landing gear brake stack 153, so that the force exerted by the motors 151 on the aircraft main landing gear brake stack 153 may be quantified by the load cell 160. The load cell 160 may generate an electrical signal comprising the measured actuation force signal that is representative of the force exerted by the motor 151 on the aircraft main landing gear brake stack 153. The measured actuation force signal may be a packetized data signal, a voltage, or a current, and/or the like. Having discussed various aspects of an aircraft brake control and monitoring system 2, attention is now directed to FIG. 3A, in conjunction with FIGS. 1-2. A method of brake actuation force logging 300 may be performed by the aircraft brake control and monitoring system 2. For example, motors 151 of a braking unit 121 may receive a force command comprising a braking instruction (step 301). For instance, an aircraft brake actuator 130 may transmit to a junction box 140 of a force sensing braking module 142, which connects through control wiring 170 of a control and sense wire harness 145 to the motors 151 of the braking unit 121. The motor 151 may actuate, applying a braking force to an aircraft main landing gear brake stack 153 in response to the force command (step 303). The load cell 160 of the force sensing braking module 142 may quantize the force applied by the motors 151 to the aircraft main landing gear brake stack 153 and may transmit a measured actuation force signal via sense wiring 180 of the control and sense wire harness 145 to the junction box 140 where it is conveyed to an EBAC 120, ABSC 101, and/or other aspect connected to bus 110 for logging. In various embodiments, in addition, the force sensing braking module 142 may comprise a memory wherein the measured actuation force signal is logged (step 305). With attention now to FIG. 3B, in conjunction with FIGS. 1-2, it may be desirable to retrieve previously logged measured actuation force signals according to a method of brake actuation force retrieval 350. For example, at least one of an EBAC 120, ABSC 101, or force sensing braking module 142 may receive a brake actuation force log retrieval request such as via bus 110 and/or control and sense wire harness 145 (step 351). The relevant module may then retrieve data representative of the logged measured actuation force signal (step 353). For example, data may be desired to be retrieved for transmission to an aircraft parts manufacturer for study, or an aircraft operator for maintenance purposes, and/or a regulatory agency for testing, certification, and/or monitoring. In various embodiments, the data is provided to a human readable display, such as for monitoring by an aircrew during aircraft operations. As discussed herein, various aspects of the present disclosure may be implemented in various logical units of a processor having a non-transitory memory. In various embodiments, various aspects may be implemented in multiple processors and/or memories. For example, the aspects of the disclosed system and method may be implemented within the EBAC 120. In various embodiments, various aspects of the disclosed system may be implemented within the EBAC 120 and/or the braking unit 121, and/or ABSC 101. Thus, one may appreciate that the ABSC 101, EBAC 120 and/or braking unit 121 (such as the force sensing braking module 142) may comprise a processor and a tangible, non-transitory memory. In various embodiments, various components such as the EBAC 120, ABSC 101, and/or braking unit 121 (such as the force sensing braking module 142) may be divided into further logical units. For instance, turning now to FIGS. 4-6, in accordance with various embodiments, disclosed is a brake monitoring system 3001 for monitoring the health status of the brakes of an aircraft, the aircraft comprising a plurality of brakes, the brake monitoring system 3001 comprising conducting a statistical comparison of a brake force against a force data centrality value based on force values recorded from the plurality of brakes. According to various embodiments, the statistical analysis may comprise utilizing a process control tool, such as an individual-moving range chart. According to various embodiments, and with reference to FIG. 4, an aircraft 3004 may include one or more landing gears 3002, each comprising one or more wheels 3000, as shown in FIG. 4. According to various embodiments, the aircraft 3004 comprises a brake system 3003 which functions to slow the wheel 3000, and hence the aircraft 3004, such as, for example, during landing or a rejected take off. According to various embodiments, brake system 3003 comprises a plurality (or “n” number) of brakes, B1, B2, B3, . . . Bn; or Bi, where i=1, 2, 3, . . . n, (shown as B1, B2, B3, and B4 in the figure) wherein each brake of the plurality of brakes is operatively coupled to a wheel 3000 of one of the landing gears 3002 of the aircraft 3004. According to various embodiments, a brake monitoring system 3001 shown in FIG. 5, may comprise a force sensor system 3006, configured to obtain brake force data from the brake system 3003, at least one processor 2001 configured to analyze brake force data received from force sensor system 3006, and a communicator system 3008 configured to communicate or transmit the brake force data from the force sensor system 3006 to the at least one processor 2001. According to various embodiments, the at least one processor 2001 is configured to analyze brake force data via a brake monitoring method 1000 (shown in FIG. 6) in order to determine the health status of at least one brake of brake system 3003. According to various embodiments, the at least one processor 2001 is further configured to indicate the health status of the at least one brake. According to various embodiments, brake monitoring method 1000 comprises conducting a statistical comparison of a brake force against a force data centrality value based on force values recorded from the plurality of brakes. In various embodiments, the statistical analysis may comprise utilizing a process control tool, such as an individual-moving range chart. According to various embodiments, brake force data obtained by force sensor system 3006, comprises at least one brake force data set 2000. The at least one brake force data set 2000 comprises a plurality (or “n” number) of brake forces, BF1, BF2, BF3 . . . BFn (or BFi, where i=1, 2, 3, . . . n), obtained at a specific time instance, wherein each brake force, BFi, of the plurality of brake forces is associated with a specific brake Bi of the plurality of brakes of the brake system 3003. According to various embodiments, aircraft 3004 may have eight wheels 3000 each comprising a brake Bi, i=1, . . . , 8. Thus, according to various embodiments, the at least one brake force data set 2000 may comprise 8 brake forces, BFi, (i=1, 2, 3, . . . , 8). According to various embodiments, force sensor system 3006 of brake monitoring system 3001, comprises at least one force sensor (represented by force sensor 3006a) in mechanical communication with each brake Bi. According to various embodiments, force sensor system 3006 comprises a plurality of force sensors 3006a. According to various embodiments, force sensor 3006a may be, for example, a load cell 160 (FIGS. 1-3) according to various embodiments. According to various embodiments, force sensor 3006a may be embedded in a component of the brake, for example, to obtain the brake force BFi (or indication of the brake force, such as a voltage) of the brake. Under typical operating circumstances, the force of a brake will change over time, and different components of the brake will register different force measurements. Thus, according to various embodiments, force sensor system 3006 is configured to measure the brake force BFi of each brake Bi of the brake system 3003, under similar conditions, such that the brake forces can be meaningfully compared. To that end, the brake forces, BFi, of the at least one brake force data set 2000 are measured at the same time or at a specific time instance, according to various embodiments. Additionally, according to various embodiments, the brake forces, BFi (i=1 . . . n), are measured from the same brake component of each brake, Bi. According to various embodiments, the brake forces, BFi, of the at least one brake force data set 2000 may be measured for all the brakes, Bi, at an instant of time, or otherwise, over an amount of time sufficient to obtain a force indication or reading by the force sensor 3006a, according to various embodiments. According to various embodiments, the brake forces, BFi, of the at least one brake force data set 2000 may be measured over a prolonged time period, wherein, according to various embodiments, an extreme force reading over the time period may be registered as the brake force BFi for the specific brake Bi. According to various embodiments, such extreme force may comprise the greatest force registered over the prolonged time period. According to various embodiments, brake force data obtained by force sensor system 3006, may comprise obtaining more than one or multiple brake force data sets 2000 over multiple specific times or multiple time instances. As such, the brake force data may comprise multiple brake force data sets 2000 which are correlated to time. According to various embodiments, the times for obtaining multiple brake force data sets 2000 may be separated by regular time intervals, the multiple brake force data sets 2000 may be obtained over a specific time range comprising multiple time intervals. For example, a data set may be collected every 2 minutes, for 22 minutes, thus obtaining 11 data sets. According to various embodiments, brake force data 2000 may be obtained at particular times of interest, including times during or shortly after the brakes Bi, of aircraft 3004 may be used. For example, particular times of interest for obtaining brake force data may include the time after a landing and/or rollout of the aircraft 3004, taxing before takeoff, and shortly after takeoff, according to various embodiments. According to various embodiments, the at least one processor 2001 is configured to analyze the brake force data, comprising the at least one brake force data set 2000 obtained by force sensor system 3006, via brake monitoring method 1000, illustrated in FIG. 6. According to various embodiments, the at least one processor 2001 carries out at least a step 1004 of determining a force data centrality value (“CV”) 2002, a step 1006 of comparing at least one brake force of the plurality of brake forces BFi, with the force data centrality value 2002, and a step 1008 of determining the health status of at least one brake, of brake monitoring method 1000. According to various embodiments, step 1004 of determining a force data centrality value (“CV”) 2002 is based on the at least one brake force data set 2000 obtained by the force sensor system 3006. According to various embodiments, the force data centrality value 2002 may be the median brake force of the plurality of brake forces BFi, of the at least one brake force data set 2000. According to various embodiments, the force data centrality value 2002 may be the arithmetic mean brake force of the plurality of brake forces BFi, of the at least one brake force data set 2000. Various method of obtaining median or arithmetic mean values are known in the art. Such methods may include, for example, excluding outlier values, obtaining a median average, etc., according to various embodiments. Additionally, other values representing a force data centrality value 2002, and methods of derivation will be apparent to one skilled in the art. According to various embodiments, the step 1006 of comparing at least one brake force of the plurality of brake forces BFi, with the force data centrality value 2002, comprises obtaining a deviation value DV for the at least one brake force. According to various embodiments, step 1006 comprises comparing each brake force BFi of the at least one brake force data set 2000 against the force data centrality value 2002, to generate at least one force deviation value data set 2004, comprising a plurality of deviation values. According to various embodiments, the at least one force deviation value data set 2004 comprises an “n” number of deviation values DV1, DV2, DV3 . . . DVn, (or DVi, where i=1, 2, 3, . . . n), wherein n is the number of brakes, wherein each deviation value is associated with a specific brake (i.e. B1, B2, B3, . . . Bn) of the at least one brake force data set 2000, as shown in FIG. 6. According to various embodiments, the step 1006 of comparing each brake force, BFi, with the force data centrality value 2002 obtained in step 1004, and obtaining a deviation value DVi for each brake, may comprise determining an absolute difference between the force data centrality value 2002 and each brake force, BFi, of the plurality of break forces, wherein DV1=|CV−BF1|; DV2=|CV−BF2|; DV3=|CV−Bt3| . . . DV4=|CV−BFn|; or DVi=|CV−BFi|, where i=1→n, wherein the at least one force deviation value data set 2004 is a force deviation data set comprising a plurality of deviations (DV1, DV2, DV3 . . . DVn; or DVi, where i=1→n), wherein each deviation DVi of the plurality of deviations is associated with a brake, Bi, of the plurality of brakes (i.e. B1, B2, B3, . . . Bn; or Bi, where i=1, . . . n). According to various embodiments, obtaining a deviation value DVi for each brake, may comprise determining a ratio value, which may be a quotient or a multiple of a quotient between BFi and CV, i.e., DVi=BFi/CV or a multiple thereof, according to various embodiments. According to various embodiments, brake monitoring method 1000 may comprise obtaining multiple force deviation value data sets 2004 for multiple brake force data sets 2000. According to various embodiments, the step 1008 of determining the health status of at least one brake Bi of the brake system 3003, comprises analyzing the at least one force deviation value data set 2004 obtained in step 1006. According to various embodiments, step 1008 of determining the health status of at least one brake Bi, may comprise determining the health status of all the brakes Bi of the brake system 3003 of the aircraft 3004. According to various embodiments, step 1008 of determining the health status of at least one brake may comprise a process control analysis of the at least one force deviation value data set 2004. According to various embodiments, step 1008 of determining the health status of at least one brake Bi, may comprise performing a statistical analysis on at least one force deviation value data set 2004. According to various embodiments, the force deviation values set may be analyzed through a statistical process control tool comprising individual moving range chart (Shewhart chart). Such analysis may indicate whether the brake system is operating properly (i.e. is stable), or not, and may be used to determine the health status of each brake (i.e. based on its deviation value). Other methods of statistical analysis include other quality control tools may be apparent to one skilled in the art. According to various embodiments, the at least one force deviation value data set 2004 may be obtained at a specific time instance. According to various embodiments, at least one force deviation value data set 2004 may be obtained at a specific time of interest, such as post flight. According to various embodiments, multiple force deviation value data sets 2004, which are correlated to time may be statistically analyzed. According to various embodiments, the multiple force deviation value data sets 2004, which are correlated to time, may be from a single flight. According to various embodiments, the multiple force deviation value data sets 2004, which are correlated to time, may be from multiple flights. According to various embodiments, the multiple force deviation value data sets 2004, which are correlated to time, may be from a single particular time of interests, or from multiple particular times of interests. According to various embodiments, the multiple force deviation value data sets 2004, which are correlated to time, may be from a single aircraft. According to various embodiments, the at least one processor 2001 is further configured to indicate the health status of the at least one brake of the brake system 3003. According to various embodiments, the at least one processor 2001 may indicate the health status of each brake or of the brake system 3003. According to various embodiments, the at least one processor may comprise a display unit, such as a cockpit display unit, for indicating brake health status. According to various embodiments, indicating brake health status of at least one brake Bi may comprise indicating a poor health status of any brake(s) found to have a poor health status, on a display device which may be a component of, or in communication with the at least one processor 2001, according to various embodiments. Such indication may be relayed via multiple processors 2001, via the communicator system 3008, according to various embodiments. According to various embodiments, communicator system 3008 of brake monitoring system 3001 is configured to communicate or transmit the brake force data from force sensor system 3006 to the at least one processor 2001, via a wired transmission, a wireless transmission, or both a wireless and a wired transmission, according to various embodiments. According to various embodiments, a wired transmission, may comprise at least one processor 2001 on the aircraft 3004. According to various embodiments, the at least one processor 2001 may comprise multiple processors, wherein the brake force data may be transmitted via multiple processors 2001, and may be transmitted both by wire and wirelessly. For example, the brake force data may be transmitted by a wired connection to a first processor 2001a on the aircraft 3004, then wirelessly transmitted to a second processor 2001b, which may be onboard the aircraft 3004 or outside the aircraft 3004, as shown in FIG. 5, according to various embodiments. According to various embodiments, the first processor 2001a may comprise a brake control unit on the aircraft 3004, for example, which may convert a voltage produced by the force sensor system 3006 of at least one brake Bi into a respective force reading that is communicated to the second processor 2001b, according to various embodiments. According to various embodiments, the force sensor system 3006 and the at least one processor 2001 may comprise a single component which may perform both sensing and processing functions, according to various embodiments. According to various embodiments, the steps of brake monitoring method 1000 may be carried out by the at least one processor 2001, wherein the at least one processor may comprise a single processor on the aircraft 3004 or off the aircraft 3004, according to various embodiments. According to various embodiments, the steps of brake monitoring method 1000 may be carried out by multiple processors 2001, which may be on the aircraft 3004, outside the aircraft 3004, or both on the aircraft 3004 and outside the aircraft 3004, according to various embodiments. According to various embodiments, brake force data may be obtained via the force sensor system 3006, and transmitted via communicator system 3008 to a first processor 2001a comprising a data concentrator on the aircraft 3004, then provided to a second processor 2001b comprising a central maintenance system, which may be on or off the aircraft 3004, according to various embodiments, then either the second processor 2001b, or a third processor, which may be on or off the aircraft may carry out the steps of brake monitoring method 1000, according to various embodiments. In various embodiments, while the aircraft brake control and monitoring systems described herein have been described in the context of aircraft applications; however, one will appreciate in light of the present disclosure, that the system described herein may be used in connection with various other vehicles, for example, cars, trucks, busses, trains, boats, and submersible vehicles, or any other vehicle or device, in which brake control and monitoring is desirable, for example, automobile braking systems. Tangible non-transitory memory as used herein may include a main memory, such as for example random access memory (RAM), and may also include a secondary memory. The secondary memory may include, for example, a hard disk drive and/or a removable storage drive, representing a floppy disk drive, a magnetic tape drive, an optical disk drive, etc. The removable storage drive reads from and/or writes to a removable storage unit in a well-known manner. Removable storage unit represents a floppy disk, magnetic tape, optical disk, etc. which is read by and written to by removable storage drive. As will be appreciated, the removable storage unit includes a computer usable storage medium having stored therein computer software and/or data. In various embodiments, secondary memory may include other similar devices for allowing computer programs or other instructions to be loaded into computer system. Such devices may include, for example, a removable storage unit and an interface. Examples of such may include a program cartridge and cartridge interface (such as that found in video game devices), a removable memory chip (such as an erasable programmable read only memory (EPROM), or programmable read only memory (PROM)) and associated socket, and other removable storage units and interfaces, which allow software and data to be transferred from the removable storage unit to computer system. As used herein, the meaning of the term “non-transitory computer-readable medium” should be construed to exclude only those types of transitory computer-readable media which were found in In re Nuijten, 500 F.3d 1346 (Fed. Cir. 2007) to fall outside the scope of patentable subject matter under 35 U.S.C. §101, so long as and to the extent In re Nuijten remains binding authority in the U.S. federal courts and is not overruled by a future case or statute. Stated another way, the term “computer-readable medium” should be construed in a manner that is as broad as legally permissible. Benefits, other advantages, and solutions to problems have been described herein with regard to specific embodiments. Furthermore, the connecting lines shown in the various figures contained herein are intended to represent exemplary functional relationships and/or physical couplings between the various elements. It should be noted that many alternative or additional functional relationships or physical connections may be present in a practical system. However, the benefits, advantages, solutions to problems, and any elements that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as critical, required, or essential features or elements of the inventions. The scope of the inventions is accordingly to be limited by nothing other than the appended claims, in which reference to an element in the singular is not intended to mean “one and only one” unless explicitly so stated, but rather “one or more.” Moreover, where a phrase similar to “at least one of A, B, or C” is used in the claims, it is intended that the phrase be interpreted to mean that A alone may be present in an embodiment, B alone may be present in an embodiment, C alone may be present in an embodiment, or that any combination of the elements A, B and C may be present in a single embodiment; for example, A and B, A and C, B and C, or A and B and C. Systems, methods and apparatus are provided herein. In the detailed description herein, references to “various embodiments”, “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described. After reading the description, it will be apparent to one skilled in the relevant art(s) how to implement the disclosure in alternative embodiments. Furthermore, no element, component, or method step in the present disclosure is intended to be dedicated to the public regardless of whether the element, component, or method step is explicitly recited in the claims. No claim element herein is to be construed under the provisions of 35 U.S.C. 112(f), unless the element is expressly recited using the phrase “means for.” As used herein, the terms “comprises”, comprising”, or any other variation thereof, are intended to cover a non-exclusive inclusion, such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements but may include other elements not expressly listed or inherent to such process, method, article, or apparatus.",B60T17221,B60T1722,20160108,,20170713,92990.0 43,15010952,PENDING,SYSTEM AND METHOD FOR ENERGY CONSUMPTION MANAGEMENT IN BROADBAND TELECOMMUNICATIONS NETWORKS VIA ADAPTIVE POWER MANAGEMENT,"A system and method for the software and hardware-based management and control of overall energy consumption in a telecommunications network is described. The energy consumption management in broadband telecommunications networks is accomplished via an adaptive power system interface and network energy controller that has access to the energy management systems, subsystems, features, individual components and configurations of equipment and services within the network, and provides energy consumption control of an entire network or a portion thereof using a plethora of different types of equipment, equipment or software features, equipment cards, ports, devices, systems, and entire facilities within a network.","1. A system for energy consumption management in a telecommunications network via adaptive power system management, the system comprising: a. a network or a portion thereof that is composed of a plurality of different types of equipment; b. an adaptive power system for controlling the energy consumption of a plurality of elements within the equipment within the network; and c. a network energy controller within the adaptive power system that uses a set of protocols to control the energy consumption of the plurality of elements within the network. 2. The system of claim 1 wherein the equipment comprises equipment cards, devices, software features, applications, systems, or entire facilities or ecosystems within the network, wherein the equipment is controllable via access to the energy management systems, subsystems, features, individual components and configurations of the equipment within the network. 3. The system of claim 1 wherein the adaptive power system effects control of energy consumption in the network based on a plurality of external and internal influences, wherein the influences comprise weather forecasting, storm tracking, major events of high energy usage, rolling brown outs, rolling black outs, real-time network data, outages in other sections of the network, network energy costs, past network performance or any combination thereof. 4. The system of claim 1 wherein energy consumption controlled by the network energy controller is based on information from facility elements, wherein the facility elements are heating, ventilation, and air conditioning (HVAC), lighting, security for people and equipment or the dynamic traffic delivery needs of the network. 5. The system of claim 1 wherein the location of the network energy controller is in a master network operations control facility within the network, in an external facility, distributed throughout the network, or hierarchically controlling other systems of network energy controllers distributed throughout the network. 6. The system of claim 1 wherein at least a portion of the functions of the network energy controller are integrated into elements within the equipment. 7. The system of claim 1 wherein the adaptive power system controls the energy consumption of the network by coordinating facility energy management system functionality with functionality of the equipment within the network, wherein the equipment functionality is a shut down mode, a reduced power mode, a sleep mode, or any mode combination thereof. 8. The system of claim 1 wherein the adaptive power system is implemented via a centralized, remote, or distributed energy controller, in coordination with a facility energy management system to control individual systems based on the integration of energy management status and information from elements within the equipment in the network. 9. The system of claim 1 wherein the network energy controller adapts the energy consumption via controlling elements, wherein the elements are encoders, transcoders, multiplexing devices, servers for data, voice, audio and video content, routers, switches, ad splicers, decrypters, encrypters, adaptive bit rate systems, cable modem termination systems (CMTS), converged cable access platforms (CCAP), edge quadrature amplitude modulators (edge QAM) remote PHY, remote MAC/PHY, distributed CCAP, radio frequency (RF) modulators, optical transmitters, optical receivers, radio frequency (RF) amplifiers or any combination thereof within the equipment within the network. 10. The system of claim 1 wherein the network energy controller adapts the energy consumption via controlling a power subsystem element that provides power to a plurality of subsystems within the equipment and can shut down an individual subsystem within the plurality either directly via access to an element management component, wherein the element management component is an onboard or off-board system, an individual subsystems, or a control of the power supply to the subsystem, wherein the plurality of subsystems are cards, midplanes, backplanes, other busses and subsystems or combinations thereof. 11. The system of claim 1 wherein the network energy controller controls parameters of the equipment of the network that impact energy consumption via element management, wherein the parameters are bit rate of data, voice, audio, and video communications, processor speed, compression parameters, power supply parameters, dark/hot redundancy, and throttle functions/control restoration time, and wherein the throttle functions/control restoration time are modes for nap, sleep, comatose mode of power-down or any combination thereof. 12. The system of claim 1 wherein the network energy controller uses a packet-switched network that interlinks one or more controllers, wherein the controllers are energy macro-, mini- and micro-controllers, and the network energy controller function is distributed throughout the network via an energy macro-controller, a plurality of energy mini-controllers and a plurality of energy micro-controllers. 13. The system of claim 1 wherein the total energy consumption in the network is controlled by monitoring energy consumption metrics, wherein the energy consumption metrics are the energy consumption over a specified interval of time, energy consumption variability, peak to average energy consumption ratio, peak energy consumption, energy availability, cost of energy or any combination thereof. 14. The system of claim 13 wherein the network energy controller uses the energy consumption metrics in conjunction with network data traffic loads, patterns, trends, constraints, growth plans or any combination thereof to configure the network data transport subject to a plurality of energy constraints. 15. The system of claim 1 wherein the network energy controller uses a set of protocols to monitor, analyze and control energy consumption in equipment within the network, wherein the equipment is systems, sub-systems, components, services and features in the network or any combination thereof and wherein the equipment communicates energy consumption associated therewith to the network energy controller and wherein control by the energy controller of the equipment is subject to a plurality of energy constraints. 16. The system of claim 13 wherein the network energy controller uses external data as input to an algorithm resulting in energy control of network elements, wherein the external data comprises weather forecasting, storm tracking, major events of high energy usage, rolling brown outs, rolling black outs, real-time network data, outages in other sections of the network, network energy costs, past network performance or any combination thereof. 17. The system of claim 13 wherein the network energy controller uses energy-saving features within in the network elements based on network data traffic loads, wherein the energy-saving features are power-off, disabling of selected features, or sleep modes or any combination thereof. 18. The system of claim 17 wherein the network energy controller further implements the energy-saving features according to changing video quality requirements versus network capacity availability and network energy power availability. 19. The system of claim 1 wherein radio frequency (RF) components in the network have their bias currents, bias voltages, gain levels or any combination thereof adjusted to reduce energy consumption, wherein the radio frequency (RF) components are Quadrature Amplitude Modulators (QAMs), RF modulators, RF amplifiers, optical transmitters, optical receivers or any combination thereof. 20. The system of claim 1 wherein radio frequency (RF) components in the network are configured such that the energy consumption of the network is reduced via the use of lower order modulation, alternate modulation schemes, alternate modulation profiles or any combination thereof. 21. The system of claim 1 wherein radio frequency (RF) components in the network are configured such that the energy consumption in the network is reduced via the use of an alternate class or classes of RF amplifier operation. 22. The system of claim 1 further comprising an application layer that houses interface elements, wherein the interface elements are adapters or controllers that are developed to interface with the communications layer and the controllers, and wherein the interface elements comprise applications that exert control over an individual or groups of devices or sections of the network according to an energy policy in combination with service provider policies. 23. The system of claim 1 wherein the network energy controller communicates with customer premises equipment using a set of interfaces for the adaptive power system, wherein the interface comprises specifications to regulate energy usage of system equipment at premise, controls for primary or backup power systems, generators, controls for services being transported to, from, or within the premise or any combination thereof. 24. The system of claim 17 wherein the network energy controller affects radio frequency (RF) components in the network and implements energy saving by at least temporarily muting or eliminating radio frequency (RF) carriers that are not necessary based on traffic needs within the network and by adjusting bias current or bias voltage of radio frequency (RF) amplifiers in proportion to network needs. 25. The system of claim 23 further comprises a set of customer interfaces and wherein a customer accesses the system through the interface to regulate customer premise equipment within the network."," BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to energy consumption management, and more particularly, to a system and method for the software and hardware-based control of overall energy consumption in a telecommunications network. 2. Related Art Building energy management systems (BEMS) have been deployed for some time. Most are concerned with heating ventilation and air-conditioning (HVAC) energy management via software and hardware-based control of HVAC equipment. For example, one system provides asset management of multiple remote facilities to control energy consumption and reduce costs associated with the operation and maintenance of core building systems (e.g. central plants, roof top units, lighting systems, refrigeration, etc.). Their diagnostics analyze the performance of these systems at both the facility-level and across an entire group of buildings and facilities, helping to identify potentially expensive problems before they result in a significant increase in energy consumption and makes it much easier to standardize equipment operation across a large portfolio of buildings. This approach is typically limited to HVAC type equipment and the comfort of occupants in the buildings, and does not address the energy consumption of telecommunications equipment and the associated critical facilities. Power-One (http://www.power-one.com/power/news/power-one-inc-announces-digita-0) has patented the use of digital power technology via the PMBus open standard power-management protocol with a fully defined command language that facilitates communication with power converters and other devices in a power system. This standard allows for communication between devices based on both analog and digital technologies, and provides interoperability to reduce design complexity for power system designers. In particular, their solution applies to energy-efficient power conversion and power management solutions for alternative/renewable energy, routers, data storage and servers, wireless communications, optical networking, semiconductor test equipment, industrial markets and custom applications. This solution does not address the management of energy consumption via traffic engineering in telecommunications networks. The ECODANE system is one of the first attempts to control energy consumption (http://www.euroview2011.com/fileadmin/content/euroview2011/abstracts/abstract_pries.pdf) via traffic engineering over a network of data centers. Importantly, this approach includes management of energy consumption via the intelligent shutting down, or placement into sleep mode of network switches, ports and links. However, this approach does not include energy management using knowledge of specific types of traffic with known qualities such as video and voice, nor does it cover telecommunications equipment other than traditional servers, routers, switches or ports. In none of the above prior art does the approach cover the selective shutting down, slowing down, putting into sleep mode or otherwise reducing power consumption of specific cards, circuits, or features in telecommunications equipment based on traffic needs, nor on externally imposed energy limitations such as are required in disaster scenarios where energy is limited to that available from backup systems, nor on externally imposed energy limitations such as periods of peak demand to avoid brownouts or blackouts, nor to reduce energy consumption during periods of low demand, nor the need to manage energy consumption vs. traffic needs based on diurnally or seasonally variable energy costs, nor based on the energy cost across multiple suppliers. What is needed is a method of managing energy consumption across an entire telecommunications network that spans both traditional HVAC needs as well as telecommunications traffic, and further includes the specifics of telecommunications equipment such as those found in modern broadband telecommunications networks such as video encoders, transcoders, statistical multiplexors, cable modem termination systems, radio frequency (RF) modulators, optical transmitters and receivers, and RF amplifiers, using a common control protocol and system interface specification that enables energy management via control of entire facilities all the way down to specific features on individual equipment in the network or components in the individual pieces of equipment."," BRIEF SUMMARY OF THE INVENTION The present invention is directed to a method and system for energy consumption management in broadband telecommunications networks via adaptive power system management. In order to control energy consumption of an entire network that is composed of a plethora of different types of equipment, a system is required for adapting the energy consumption of features, cards, devices, systems, and entire facilities within a network including traditional HVAC, lighting and security needs of both people and equipment, and also the traffic delivery needs of the telecommunications network. In particular a controller that has access to the energy management systems, subsystems, features, and individual components of telecommunications, HVAC, lighting and security systems via an adaptive power system interface is described that permits adaptation of energy consumption in the entire network based on a variety of external and internal influences. External factors or influences are for example, but not limited to, those factors that can impact energy consumption and management such as weather forecasting, storm tracking, major events, rolling brown/black outs. Examples of internal factors or influences are, but not limited to, those factors that impact or provide status about network performance, outages, energy costs, past network performance trends, workforce availability, and proactive network maintenance data, parameters, and configurations. The energy management controller system may be located at the master network operations control facility of a broadband telecommunications network, at an external facility, or may be distributed throughout the network. Additionally some or all of the functions of an energy management controller may be integrated into other equipment. In one aspect of the present invention there is provided a system for controlling the energy consumption of a broadband telecommunications network based on a controller that has access to energy management features in many or all components in the network and is tied to some or all of the network operations control and building energy management systems that are aware of traffic needs and management capabilities throughout the broadband telecommunications network as well as HVAC, lighting and security needs within individual facilities. In another aspect, there is a method where an adaptive power system interface is used on telecommunications equipment as well as HVAC, lighting and security equipment in order to control and manage energy consumption of the entire network and its facilities, equipment, parameters, and features. Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.","CROSS REFERENCE TO RELATED APPLICATION The present application claims priority to and the benefit of the filing of U.S. Provisional Patent Application No. 62/109,589, filed Jan. 29, 2015. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to energy consumption management, and more particularly, to a system and method for the software and hardware-based control of overall energy consumption in a telecommunications network. 2. Related Art Building energy management systems (BEMS) have been deployed for some time. Most are concerned with heating ventilation and air-conditioning (HVAC) energy management via software and hardware-based control of HVAC equipment. For example, one system provides asset management of multiple remote facilities to control energy consumption and reduce costs associated with the operation and maintenance of core building systems (e.g. central plants, roof top units, lighting systems, refrigeration, etc.). Their diagnostics analyze the performance of these systems at both the facility-level and across an entire group of buildings and facilities, helping to identify potentially expensive problems before they result in a significant increase in energy consumption and makes it much easier to standardize equipment operation across a large portfolio of buildings. This approach is typically limited to HVAC type equipment and the comfort of occupants in the buildings, and does not address the energy consumption of telecommunications equipment and the associated critical facilities. Power-One (http://www.power-one.com/power/news/power-one-inc-announces-digita-0) has patented the use of digital power technology via the PMBus open standard power-management protocol with a fully defined command language that facilitates communication with power converters and other devices in a power system. This standard allows for communication between devices based on both analog and digital technologies, and provides interoperability to reduce design complexity for power system designers. In particular, their solution applies to energy-efficient power conversion and power management solutions for alternative/renewable energy, routers, data storage and servers, wireless communications, optical networking, semiconductor test equipment, industrial markets and custom applications. This solution does not address the management of energy consumption via traffic engineering in telecommunications networks. The ECODANE system is one of the first attempts to control energy consumption (http://www.euroview2011.com/fileadmin/content/euroview2011/abstracts/abstract_pries.pdf) via traffic engineering over a network of data centers. Importantly, this approach includes management of energy consumption via the intelligent shutting down, or placement into sleep mode of network switches, ports and links. However, this approach does not include energy management using knowledge of specific types of traffic with known qualities such as video and voice, nor does it cover telecommunications equipment other than traditional servers, routers, switches or ports. In none of the above prior art does the approach cover the selective shutting down, slowing down, putting into sleep mode or otherwise reducing power consumption of specific cards, circuits, or features in telecommunications equipment based on traffic needs, nor on externally imposed energy limitations such as are required in disaster scenarios where energy is limited to that available from backup systems, nor on externally imposed energy limitations such as periods of peak demand to avoid brownouts or blackouts, nor to reduce energy consumption during periods of low demand, nor the need to manage energy consumption vs. traffic needs based on diurnally or seasonally variable energy costs, nor based on the energy cost across multiple suppliers. What is needed is a method of managing energy consumption across an entire telecommunications network that spans both traditional HVAC needs as well as telecommunications traffic, and further includes the specifics of telecommunications equipment such as those found in modern broadband telecommunications networks such as video encoders, transcoders, statistical multiplexors, cable modem termination systems, radio frequency (RF) modulators, optical transmitters and receivers, and RF amplifiers, using a common control protocol and system interface specification that enables energy management via control of entire facilities all the way down to specific features on individual equipment in the network or components in the individual pieces of equipment. BRIEF SUMMARY OF THE INVENTION The present invention is directed to a method and system for energy consumption management in broadband telecommunications networks via adaptive power system management. In order to control energy consumption of an entire network that is composed of a plethora of different types of equipment, a system is required for adapting the energy consumption of features, cards, devices, systems, and entire facilities within a network including traditional HVAC, lighting and security needs of both people and equipment, and also the traffic delivery needs of the telecommunications network. In particular a controller that has access to the energy management systems, subsystems, features, and individual components of telecommunications, HVAC, lighting and security systems via an adaptive power system interface is described that permits adaptation of energy consumption in the entire network based on a variety of external and internal influences. External factors or influences are for example, but not limited to, those factors that can impact energy consumption and management such as weather forecasting, storm tracking, major events, rolling brown/black outs. Examples of internal factors or influences are, but not limited to, those factors that impact or provide status about network performance, outages, energy costs, past network performance trends, workforce availability, and proactive network maintenance data, parameters, and configurations. The energy management controller system may be located at the master network operations control facility of a broadband telecommunications network, at an external facility, or may be distributed throughout the network. Additionally some or all of the functions of an energy management controller may be integrated into other equipment. In one aspect of the present invention there is provided a system for controlling the energy consumption of a broadband telecommunications network based on a controller that has access to energy management features in many or all components in the network and is tied to some or all of the network operations control and building energy management systems that are aware of traffic needs and management capabilities throughout the broadband telecommunications network as well as HVAC, lighting and security needs within individual facilities. In another aspect, there is a method where an adaptive power system interface is used on telecommunications equipment as well as HVAC, lighting and security equipment in order to control and manage energy consumption of the entire network and its facilities, equipment, parameters, and features. Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 shows an energy controller system within the overall architecture of a telecommunications network. FIG. 2 shows an energy controller system operating with a facility energy management system for controlling a telecommunications network. FIG. 3 shows an energy controller system operating in conjunction with a facility energy management system to control an individual system within a telecommunications network. FIG. 4 shows an energy controller system operating in conjunction with a facility energy management system operating controlling the backplane data subsystem that provides power to each card within the system. FIG. 5 shows an energy controller system having distributed feature control. FIG. 6 shows an example of control network architecture for implementing an energy controller system for a broadband telecommunications network. FIG. 7 shows a communications architecture having a number of layers for exchanging energy related information in an end-to-end telecommunications network. FIG. 8 shows an end-to-end architecture for controlling energy consumption in multiple buildings of various sizes. DETAILED DESCRIPTION The present invention will be described with reference to the accompanying drawings. Like numerals and similarly named elements within the various drawings are intended to identify similar elements. FIG. 1 illustrates the overall architecture of a system controlling energy consumption of a telecommunications network that coordinates traditional facility energy management system functionality with shut down, reduced power modes or sleep modes in facility telecommunications equipment in various locations in each facility. The terms “facility” and “building” are used here in a generic sense and can refer to a building or to any other asset owned or operated as part of the telecommunications network, including pedestals, pole mounted cabinets, outside aerial equipment or other types of assets. As illustrated, the energy controller 101 is a network appliance that accepts inputs such as external factors like weather forecasting, storm tracking, major events, rolling brown and/or black outs, as well as network operations status, especially energy consumption status, cost and goals, but also network outages and trends in network operations performance metrics, from the network operations center 102, and uses these input data to manage and control the various energy parameters, features, cards, racks, services, facilities, and overall network or sub-networks, and any other energy consumption impacting elements within the network, in order to control and optimize energy efficiency in the network. The network operation center 102 is a facility or group of facilities for managing network operations within a telecommunications network. The facility energy management system 103, which may also be identified as a building energy management system or building automation system, is a computer based system that monitors and controls the energy needs of a building by control and monitoring of the building mechanical and electrical equipment, such as ventilation, power, lighting, alarm (fire and security) systems. The facility energy management system 103 is typically comprised of both software and hardware. Facility 1, designated by numeral 104, is a building that houses telecommunications equipment. Facility 2 and Facility 3 are similar buildings or systems, examples of which are data centers, cacheing centers, administrative and network operations centers, regional network and administrative offices, headends, hubs, mini-hubs, and fleet operations centers. The facilities 104 are shown as including racks or similar storage enclosures 105 for servers, network appliances, telecommunications equipment and other equipment housed in telecommunications facilities. The energy controller 101 many have access to some or all of the components in each facility 104. The equipment may be in racks 105 as illustrated in the diagram or may be installed in an alternative fashion. FIG. 2 shows the architecture of an adaptive power system that allows a centralized, remote, or distributed energy controller, in coordination with a facility energy management system 103 to control individual systems at locations in a facility 104 of a broadband telecommunications network. The types of facilities covered include, but are not limited to network operations centers, data centers, headends, and hubs. In FIG. 1, the energy controller 101 is shown connected to a series of facility energy management systems 103, with each system 103 associate with a separate facility 104. In FIG. 2, the facility 105 includes racks 105, 202 having a plurality of systems 201, such as a telecommunications appliance, group of appliances, or subset of a network appliance, including a card or group of cards that performs a given set of functions for the provision of network services, or the monitoring and control of those services. FIG. 3 shows the architecture of an adaptive power system that allows a centralized, remote, or distributed energy controller, in coordination with a building energy management system to control individual systems 301 within system of appliances 201 in a facility of a broadband telecommunications network. The types of individual systems 301 covered include, but are not limited to encoders, transcoders, statistical multiplexing devices, servers for data, voice, audio and video content, routers, switches, ad splicers, encrypters, adaptive bit rate systems, cable modem termination systems, converged cable access platforms (CCAP), remote PHY, remote MAC/PHY, distributed CCAP, RF modulators, optical transmitters and receivers, and RF amplifiers. Remote PHY, also known as distributed PHY, refers to an architecture whereby the point at which digital signals are transformed into RF signals is moved from the cable headend to the fiber-optic node within the access network itself. Remote MAC/PHY is similar except that the media access control (MAC) functionality is also moved into the node. Both remote PHY and remote MAC/PHY are examples of the more general distributed CCAP architecture specification from CableLabs. The rack power 302 is a subsystem within a rack 105 that provides electrical power, either AC or DC, to the systems 201 or cards 301 contained within that rack 105. FIG. 4 shows the architecture of an adaptive power system that allows a centralized, remote, or distributed energy controller 101, in coordination with a facility energy management system 103 to control individual cards or subsystems 301 within a system 201 in a facility 105 of a broadband telecommunications network via controlling the backplane power subsystem 401 that provides power to each card 301 within the system 201. The energy controller 101 can shut down individual cards 301 in a system 201 either directly via access to element management components within the system, or the individual cards, or via the backplane power supply 401 to each card 301. The illustrated backplane data/power supply 401 is contemplated to be any form of plane, such as front plane, side plane or bottom plane, and is generally an electrical interface for connecting cards to the system containing the plane. FIG. 5 shows the architecture of an adaptive power system that allows a centralized, remote, or distributed energy controller 101, in coordination with a facility energy management system 103 to control individual features or parameters 501 within a system 201 in a facility 105 of a broadband telecommunications network. Each feature or parameter 501 is a distinctive attribute or aspect of a system or subsystem 201 that defines the overall function of the system or subsystem. There are both software and hardware features typically found in such systems and subsystems. The feature or parameter 105 may also be specified as part of a service, subset of a service, or group of services. The individual features or parameters 501 of the system 201 that impact energy consumption are controlled via element management of these features by the energy controller 101, and include, but are not limited to bit rate of data, voice, audio, and video communications, processor speed, and compression parameters, power supply parameters; dark/hot redundancy; Throttle functions/control Restoration time (nap, sleep, comatose mode of power-down). FIG. 6 shows a control network architecture for implementing the energy controller function in a broadband telecommunications network, the communications of which are effected via a packet-switched network that interlinks the energy macro-, mini- and micro-controllers and the network elements and configurations. The energy controller function 101 is distributed throughout the broadband telecommunications network via an energy macro-controller 601, a plethora of energy mini-controllers 602 and a plethora of energy micro-controllers 603. In the current example, the partitioning of the functions to the various controllers can be changed while maintaining the same functionality. The micro-controllers 603 are responsible for controlling individual elements of the network and reporting data such as, but not limited to total energy consumption over a specified interval of time, energy consumption variability, peak to average energy consumption ratio, peak energy consumption, energy availability, and cost of energy to the mini-controllers 602 and thence to the macro controller 601. The macro controller 601 uses data from monitored and analyzed energy consumption in the network in conjunction with telecommunications network data traffic loads, patterns, trends, constraints or growth plans, or any combination thereof to configure the broadband telecommunications network data transport subject to a plethora of energy constraints, and further uses an interface specification to monitor, analyze and control energy consumption in the network via communications protocols over a packet-switched network whereby equipment, systems, sub-systems, components, services and features in the network or any combination thereof can communicate energy consumption associated with specific equipment, systems, sub-systems, components, services, and features in the network or any combination thereof to the energy controller and permit adaptation by the any of the energy controllers 601, 602 and 603 of equipment, systems, sub-systems, components, services, and features in the network, or any combination thereof subject to a plethora of energy constraints. The energy consumption of the network is controlled by the controllers 601, 602 and 603 using energy-saving features in the network elements such as power-off, disabling of selected features, or sleep modes or any combination thereof and employ these energy-saving features according to network data traffic loads. A typical example would be when data traffic loads are lower than the maximum capacity of the network and are expected to stay below the maximum capacity for a given period of time. Another example would be when the energy controllers 601, 602 and 603 adapt the central processing unit (CPU) load in network components based on a combination of traffic and energy requirements, a specific example of which would be when the CPU load in network elements is adapted to include the fact that the compression ratio of multimedia content is monotonically related to the CPU load of the cards, subsystems, or systems designed to encode, transcode, groom and transport such traffic. A further example would be when the radio frequency (RF) components in the network such as Quadrature Amplitude Modulators (QAMs) other types of RF modulators or other RF components such as amplifiers are configured such that the energy consumption of the network is reduced via the use of lower order modulation, alternate modulation schemes, alternate classes of RF amplifier operation such as Class C or Class B vs. Class A operation, and modulation schemes that provide lower energy consumption at the cost of reduced network traffic capacity. Additionally, optical components such as lasers and receivers may have their bias currents and other operational parameters adjusted to reduce energy consumption. FIG. 7 shows a communications architecture that is comprised of an applications layer, a communications layer and a device layer that enable the exchange of energy related information and the capability to issue energy related commands to control energy in an end-to-end telecommunications network. The device layer is composed of physical devices and each device will implement at least one communications protocol. The communications layer may be composed of any number of various protocols, e.g. HTTP, IPDR, SNMP, NETCONF, etc. The application layer could house adapters and/or controllers that are developed to interface with the communications layer. The controllers are applications that exert control over an individual or groups of devices or sections of a network. The controllers could also implement service provider policies. Controllers might access the devices directly via a device supported protocol or indirectly through adapters, e.g. a RESTful HTTP interface to some other protocol. FIG. 8 shows an end-to-end architecture illustrating that the facilities and elements being controlled can be in buildings of various size and location as well as pedestals, pole mounted cabinets, outside aerial equipment or other types of assets. The elements being controlled may include generators and other energy sources in addition to the communications equipment. In the outside plant, optical nodes and RF amplifiers may be controlled to reduce energy. For instance, bias currents or voltages may be reduced and gains or levels may be changed based on traffic, energy or other requirements of the network. The control system may also reach out to customer premises equipment to regulate energy usage of the equipment at the premise, control primary or backup power systems such as generators, and/or control the types of services being transported to, from, or within the premise. As was explained in FIG. 8, radio frequency (RF) components in the network such as Quadrature Amplitude Modulators (QAMs) other types of RF modulators or other RF components such as amplifiers are configured such that the energy consumption of the network is reduced via the use of lower order modulation, alternate modulation schemes, alternate classes of RF amplifier operation such as Class C or Class B vs. Class A operation, and modulation schemes that provide lower energy consumption at the cost of reduced network traffic capacity. Additionally, optical components such as lasers and receivers may have their bias currents and other operational parameters adjusted to reduce energy consumption. These power reduction modes can be implemented in any portion of the network described in FIG. 8. A further implementation of energy saving in the RF network can be to eliminate RF carriers that are not necessary. By consolidating traffic onto a reduced number of RF carriers, the unused carriers can be turned off. This not only saves energy at the RF modulator, but it also enables the cascading effect of energy savings at every point in the network that carries said RF carriers, such as lasers, optical receivers and RF amplifiers. If the quantity of RF carriers is reduced, the bias current of said RF equipment may also be reduced while maintaining sufficient fidelity. Even more energy can be saved by careful selection of the RF carriers to be turned off, since the fidelity requirements for each RF carrier are often not identical. For example, in hybrid fiber coax (HFC) networks, the RF levels out of the amplifiers in the coaxial portion of the network have increased power versus frequency. The higher frequency RF carriers are amplified to higher levels so that they can traverse the higher loss of the coaxial cable at those frequencies. Turning off high frequency carriers will reduce the total RF power by a greater amount than turning off the same number of low frequency carriers and allow a greater reduction in bias current and, thus, energy savings, than turning off low frequency carriers. Another method to reduce energy in the portion of the network that carries RF carriers is to select type of modulation based not only on how much information that modulation can carry, but also by the amount of energy that is needed to transport those RF carriers with adequate fidelity. For instance, carriers that are modulated with a high order of modulation (high number of bits per symbol) require a high carrier to noise for adequate fidelity. In general, high bias current and, thus, more energy is required to maintain high carrier to noise. However, carriers with a high order of modulation also carry more information. It might be advantageous to use a higher quantity of RF carriers with a low order of modulation than fewer RF carriers with a high order of modulation, especially if all the required carriers can be carried at lower frequencies. An energy controller could calculate the bias currents required for various quantities, modulation types and frequencies of RF carriers, select the combination with the lowest energy consumption and then implement that solution across the various components in the network by generating the proper carriers at the proper frequencies and controlling the bias current in the various RF devices appropriately. While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation.",G05F166,G05F166,20160129,,20160804,82910.0 44,14996607,ACCEPTED,AIR-CONDITIONING SYSTEM,"An air-conditioning system includes: a first duct through which air discharged from an electronic instrument passes; a water vapor retention chamber; an evaporator configured to be heated by the air passing through the first duct and thereby to generate water vapor inside the water vapor retention chamber; a desiccant rotor including an adsorbent to adsorb moisture and configured to be driven and rotated by a driving unit; a humidification chamber; a second duct configured to feed outdoor air introduced from an outdoor space into the humidification chamber; and a third duct configured to feed the air passing through the humidification chamber into a room where the electronic instrument is installed, wherein a portion of the desiccant rotor is located inside the water vapor retention chamber, and another portion of the desiccant rotor is located inside the humidification chamber.",1-10. (canceled) 11. A humidifier comprising: an evaporator configured to generate water vapor by using waste heat; a desiccant rotor including an adsorbent to adsorb the water vapor; and a humidification chamber configured to humidify air by using moisture adsorbed to the adsorbent.," BACKGROUND In recent years, a large amount of data are being handled by computers (computer devices) with the advent of an advanced information society, and numerous computers are often installed in one room for the purpose of collective management in a facility such as a data center. Under this circumstance, the computers generate a large amount of heat which may lead to erroneous operations or failures. Accordingly, a measure for cooling the computers is used. For this reason, a data center is usually designed to let the heat generated in the computers out of the computers using fans (air blowers), and to adjust the temperature in the room using an air-conditioning machine (an air conditioner). In the meantime, the amount of heat generated by the computers fluctuates depending on operating conditions of the computers. A conceivable option for reliably preventing erroneous operations or failures of the computers attributed to the heat is to use an air-conditioning machine, which has a cooling capacity corresponding to a sum of maximum amounts of heat generated by all the computers in a computer room. However, an air-conditioning machine with such a large cooling capacity generally has large power consumption, which is undesirable in terms of energy conservation. In this regard, efficient operation of an air-conditioning facility inclusive of an air-conditioning machine is preferred. Patent Document 1: Japanese Laid-open Patent Publication No. 2010-32174 A conventional data center is designed to manage the air temperature while circulating the air within a computer room. As a consequence, no matter how efficiently the air-conditioning facility is operated, the air-conditioning facility consumes at least the electric power corresponding to the amount of heat generated by all the computers in the computer room, and may be unable to achieve further reduction of the above-mentioned power consumption."," SUMMARY According to an aspect, an air-conditioning system includes: a first duct through which air discharged from an electronic instrument passes; a water vapor retention chamber; an evaporator configured to be heated by the air passing through the first duct and thereby to generate water vapor inside the water vapor retention chamber; a desiccant rotor including an adsorbent to adsorb moisture and configured to be driven and rotated by a driving unit; a humidification chamber; a second duct configured to feed outdoor air introduced from an outdoor space into the humidification chamber; and a third duct configured to feed the air passing through the humidification chamber into a room where the electronic instrument is installed, wherein a portion of the desiccant rotor is located inside the water vapor retention chamber, and another portion of the desiccant rotor is located inside the humidification chamber. The object and advantages of the invention will be realized and attained by means of the elements and combinations particularly pointed out in the claims. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are not restrictive of the invention.","CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation of International Patent Application No. PCT/JP2010/065325 filed Sep. 7, 2010 and designated the U.S., the entire contents of which are incorporated herein by reference. FIELD The embodiments discussed herein relate to an air-conditioning system configured to condition indoor air by introducing outdoor air. BACKGROUND In recent years, a large amount of data are being handled by computers (computer devices) with the advent of an advanced information society, and numerous computers are often installed in one room for the purpose of collective management in a facility such as a data center. Under this circumstance, the computers generate a large amount of heat which may lead to erroneous operations or failures. Accordingly, a measure for cooling the computers is used. For this reason, a data center is usually designed to let the heat generated in the computers out of the computers using fans (air blowers), and to adjust the temperature in the room using an air-conditioning machine (an air conditioner). In the meantime, the amount of heat generated by the computers fluctuates depending on operating conditions of the computers. A conceivable option for reliably preventing erroneous operations or failures of the computers attributed to the heat is to use an air-conditioning machine, which has a cooling capacity corresponding to a sum of maximum amounts of heat generated by all the computers in a computer room. However, an air-conditioning machine with such a large cooling capacity generally has large power consumption, which is undesirable in terms of energy conservation. In this regard, efficient operation of an air-conditioning facility inclusive of an air-conditioning machine is preferred. Patent Document 1: Japanese Laid-open Patent Publication No. 2010-32174 A conventional data center is designed to manage the air temperature while circulating the air within a computer room. As a consequence, no matter how efficiently the air-conditioning facility is operated, the air-conditioning facility consumes at least the electric power corresponding to the amount of heat generated by all the computers in the computer room, and may be unable to achieve further reduction of the above-mentioned power consumption. SUMMARY According to an aspect, an air-conditioning system includes: a first duct through which air discharged from an electronic instrument passes; a water vapor retention chamber; an evaporator configured to be heated by the air passing through the first duct and thereby to generate water vapor inside the water vapor retention chamber; a desiccant rotor including an adsorbent to adsorb moisture and configured to be driven and rotated by a driving unit; a humidification chamber; a second duct configured to feed outdoor air introduced from an outdoor space into the humidification chamber; and a third duct configured to feed the air passing through the humidification chamber into a room where the electronic instrument is installed, wherein a portion of the desiccant rotor is located inside the water vapor retention chamber, and another portion of the desiccant rotor is located inside the humidification chamber. The object and advantages of the invention will be realized and attained by means of the elements and combinations particularly pointed out in the claims. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are not restrictive of the invention. BRIEF DESCRIPTION OF DRAWINGS FIG. 1 is a schematic diagram depicting an example of a computer room; FIG. 2 is a schematic diagram of an air-conditioning system according to an embodiment; FIG. 3 is a schematic diagram of a desiccant rotor device; FIG. 4 is a schematic diagram (a perspective view) depicting an evaporator and its adjacent portions; FIG. 5 is a flowchart for explaining an operation of the air-conditioning system according to the embodiment; and FIG. 6 is a schematic diagram of an air-conditioning system of a comparative example, which is provided with a heating-type humidifier. DESCRIPTION OF EMBODIMENTS A prelude for facilitating the understanding of an embodiment will be described below prior to explaining the embodiment. In order to reduce power used for air conditioning in a facility such as a data center, outdoor air may be introduced into a room when the temperature of the outdoor air is low. In the case of a data center designed to supply the air adjusted to the temperature of 20° C. into a room by using an air-conditioning machine, for example, reduction in the power used for an air-conditioning facility may be expected by introducing the outdoor air into the room when the temperature of the outdoor air is equal to or below 20° C. However, the humidity in the room is also managed in the data center in order to prevent occurrence of troubles in the computers caused by static electricity. Even when the amount of moisture contained in the air is the same, the humidity (which hereinafter means the relative humidity) of the air becomes lower as its temperature becomes higher. For this reason, if the outdoor air in the winter, such as the outdoor air having the temperature equal to or below 10° C. and the humidity equal to or below 50%, is directly introduced into a computer room, the humidity falls to a low level along with the rise in temperature. Generally, the humidity in the computer room is adjusted within a range from about 50% to 60% in the data center by installing a heating-type humidifier, an ultrasonic-type humidifier or the like in the room. However, simple introduction of the outdoor air into the computer room may lead to a shortage of humidification capacity with only a conventionally used humidifier. Accordingly, a countermeasure such as increasing in the number of humidifiers or introducing a humidifier with a larger humidification capacity becomes preferable. Nevertheless, power consumption will be increased by taking such a countermeasure. As a consequence, the effect of reduction in the power consumption by the air-conditioning facility is not fully obtained even when the outdoor air is introduced. In view of the above, there is a demand for an air-conditioning system which takes less power for managing the humidity when the outdoor air is introduced. Embodiment FIG. 1 is a schematic diagram depicting an example of a computer room. The following embodiment describes air conditioning of a computer room as an example. A computer room 10 includes an instrument installation area 11a where racks 12 are installed, a free access floor 11b provided under the floor of the instrument installation area 11a and having power cables and signal cables installed, and an exhaust flow channel 11c provided in the attic of the instrument installation area 11a. A plurality of computers (not depicted) are placed in each of the racks 12. Moreover, an air-conditioning machine 15 is installed in the computer room 10. The air-conditioning machine 15 is configured to cool the air taken in through the exhaust flow channel 11c, and to supply the cooled air to the free access floor 11b. The racks 12 are arranged in rows. The racks 12 in one row and the racks 12 in another row adjacent to the one row are laid out in such a manner that intake surfaces of the racks 12 in the one row face intake surfaces of the racks 12 in the other row or in such a manner that exhaust surfaces of the racks 12 in the one row face exhaust surfaces of the racks 12 of the other row. In addition, an opening 14 for connecting the instrument installation area 11a to the exhaust flow channel 11c is provided at each portion on the ceiling near the exhaust surfaces of the racks 12, while a grille (a vent) 13 for connecting the instrument installation area 11a to the free access floor 11b is provided at each portion on the floor near the intake surfaces of the racks 12. The low-temperature air supplied from the air-conditioning machine 15 to the free access floor 11b is sent to the instrument installation area 11a via the grilles 13 and is taken into the racks 12 from the intake surfaces. Then, the air heated to a high temperature as a result of cooling the computers is discharged from the exhaust surfaces of the racks 12. This air enters the exhaust flow channel 11c via the openings 14 and returns to the air-conditioning machine 15 through the exhaust flow channel 11c. FIG. 2 is a schematic diagram of an air-conditioning system according to the embodiment. As depicted in FIG. 2, the air-conditioning system according to the embodiment includes a humidity adjustment unit 20, ducts 21 to 28, air valves 41 to 44, fans (air blowers) 46 to 48, and sensor units 51 and 52 which detect the temperature and humidity. Meanwhile, the humidity adjustment unit 20 includes a water vapor retention chamber 20a, a humidification chamber 20b, a desiccant rotor device 30, and an evaporator 35. The air-conditioning system according to the embodiment further includes a control device 50, which is configured to receive signals from the sensor units 51 and 52 and to control drive of the desiccant rotor device 30, the air valves 41 to 44, and the fans 46 to 48. As schematically depicted in FIG. 3, the desiccant rotor device 30 includes a disk-shaped desiccant rotor 31, and a driving unit 32 located along the central axis of the desiccant rotor 31 and configured to rotate the desiccant rotor 31. The desiccant rotor 31 is formed of a member such as a non-woven fabric, which allows passage of the air in the thickness direction thereof, and contains an adsorbent having a property to adsorb moisture in the air. Silica gel, zeolite, activated carbon, and the like may be used as the adsorbent. In this embodiment, activated carbon is used as the adsorbent because activated carbon may be recovered (dried) at a relatively low temperature. FIG. 4 is a schematic diagram (a perspective view) depicting the evaporator 35 and its adjacent portions. As depicted in FIG. 4, the evaporator 35 includes a heat transfer plate 38, a plurality of fins (heat-absorbing plates) 37 arranged on one side of the heat transfer plate 38, and a water storage unit 36 arranged on the other side of the heat transfer plate 38. The heat transfer plate 38 and the fins 37 are made of a material having fine heat conductivity such as copper (Cu), aluminum (Al) or carbon. Here, the heat transfer plate 38 and the fins 37 are made of copper, and the fins 37 and the heat transfer plate 38 are brazed to one another. The water storage unit 36 is formed by containing a member having a fine water-absorbing property such as a non-woven fabric. When the air-conditioning system is in operation, water is supplied to the water storage unit 36 as appropriate through a water supply pipe 39 so that the water storage unit 36 is always kept in a wet condition. A portion of the evaporator 35 where the fins 37 are arranged will be hereinafter referred to as a heat exchange unit and a portion of the evaporator 35 where the water storage unit 36 is arranged will be hereinafter referred to as an evaporation unit. Next, relations of connection among the humidity adjustment unit 20 and the ducts 21 to 28 will be described with reference to FIG. 2. The duct 21 (an example of a first duct), the water vapor retention chamber 20a of the humidity adjustment unit 20, and the duct 23 are linearly arranged and connected in this order. Meanwhile, the duct 22 is connected to an end of the duct 21 near the water vapor retention chamber 20a in a perpendicular manner to the duct 21. In this embodiment, both of the duct 21 and the duct 23 are connected to the exhaust flow channel 11c of the computer room 10 while the duct 22 is connected outdoors. The fan 46 is located inside the duct 21. The air at a relatively high temperature (from 30° C. to 35° C., for example) passing through the exhaust flow channel 11c of the computer room 10 is introduced into the duct 21 by rotation of this fan 46. Meanwhile, the heat exchange unit (the fins 37) of the evaporator 35 is located at an end portion inside the duct 21 near the water vapor retention chamber 20a. The air introduced into the duct 21 moves to the duct 22 through spaces formed between the fins 37 of the evaporator 35 and is then discharged outdoors. The air valve 41 is located inside the duct 22. The air valve 42 is located at a junction of the water vapor retention chamber 20a and the duct 21 while the air valve 43 is located at a junction of the water vapor retention chamber 20a and the duct 23. The inside of the water vapor retention chamber 20a becomes a substantially enclosed space when both of the air valves 42 and 43 are closed. The evaporation unit (the water storage unit 36) of the evaporator 35 is located inside the water vapor retention chamber 20a. The evaporation unit is thermally connected to the heat exchange unit (the fins 37) inside the duct 21 via the heat transfer plate 38 which passes through a gap provided below the air valve 42. In addition, a portion of the desiccant rotor device 30 above the driving unit 32 is located inside the water vapor retention chamber 20a as depicted in FIG. 2. The duct 24 (an example of a fourth duct), the humidification chamber 20b of the humidity adjustment unit 20, and the duct 25 (an example of a third duct) are linearly arranged and connected in this order, and are located below the duct 21, the water vapor retention chamber 20a, and the duct 23. In this embodiment, both of the duct 24 and the duct 25 are connected to the exhaust flow channel 11c in the computer room 10. The fan 47 (an example of a second flow rate adjustment unit) is located inside the duct 24. The air in the computer room 10 is introduced into the duct 24 by rotation of this fan 47. A portion of the desiccant rotor device 30 below the driving unit 32 is located inside the humidification chamber 20b. The air passing through the desiccant rotor device 30 is released into the computer room 10 via the duct 25. The fan 48 (an example of a first flow rate adjustment unit) is located inside the duct 26 (an example of a second duct). The outdoor air is introduced into the duct 26 by rotation of this fan 48. This duct 26 is connected to the duct 25 via the duct 28, and is also connected to the duct 24 via the duct 27. The air valve (a switch valve) 44 is located at a branching portion between the duct 27 and the duct 28. The air introduced into the duct 26 is sent either to the duct 27 or to the duct 28 by means of this air valve 44. The sensor unit 51 is located inside the duct 26 and is configured to detect the temperature and humidity of the outdoor air. Meanwhile, the sensor unit 52 is located inside the duct 25 and is configured to detect the temperature and humidity of the air passing through the duct 25. Next, an operation of the desiccant rotor device 30 of this embodiment will be described. As mentioned previously, the air valves 42 and 43 are provided at the two end portions of the water vapor retention chamber 20a, and the inside of the water vapor retention chamber 20a becomes the substantially enclosed space when both of the air valves 42 and 43 are closed. When the fins 37 of the evaporator 35 are heated by the relatively high-temperature air passing through the ducts 21 and 22, the heat of the fins 37 is also transferred to the water storage unit 36 located inside the water vapor retention chamber 20a, whereby the moisture evaporates from the water storage unit 36. Accordingly, both of the temperature and the moisture (a relative vapor pressure) inside the water vapor retention chamber 20a are increased. As a consequence, a large amount of moisture is adsorbed to the desiccant rotor 31. This desiccant rotor 31 is driven and rotated by the driving unit 32. When the portion of the desiccant rotor 31 having absorbed the moisture goes into the humidification chamber 20b, the moisture evaporates from the desiccant rotor 31 because the air entering the humidification chamber 20b has the relatively low humidity. Thus, the desiccant rotor 31 is recovered (dried) and the amount of moisture contained in the air passing through the desiccant rotor 31 is increased as a consequence. Assuming that a rotational speed of the desiccant rotor 31 remains within a predetermined range, the faster the rotational speed of the desiccant rotor 31 is, the larger the amount of moisture contained in the air passing through the desiccant rotor 31 is. Thus, the amount of humidification may be adjusted by the rotational speed of the desiccant rotor 31. Although FIG. 2 depicts the case where the desiccant rotor device 30 has the single desiccant rotor 31, a plurality of the desiccant rotors 31 may be placed in the humidity adjustment unit 20 if the single desiccant rotor 31 does not achieve a sufficient amount of humidification. An operation of the air-conditioning system according to this embodiment will be described below with reference to a flowchart in FIG. 5. Here, both of the air valves 42 and 43 are closed while the air valve 41 is open in an initial state. First, in step S11, the control device 50 acquires detection results of a temperature Tout of the outdoor air and a humidity Mout of the outdoor air from the sensor unit 51. Then, in step S12, the control device 50 determines whether or not the temperature Tout of the outdoor air is equal to or below a preset temperature T1 which is set in advance. When the temperature Tout of the outdoor air is determined to be higher than the preset temperature T1 (such as 25° C.) (in the case of NO), the outdoor air is not taken into the computer room 10. In this case, the operation goes back from step S12 to step S11. On the other hand, when the temperature Tout of the outdoor air is determined to be equal or below the preset temperature T1 (in the case of YES) in step S12, the operation goes to step S13. Then, in step S13, the control device 50 determines whether or not the humidity Mout of the outdoor air is equal to or above a preset humidity M1 such as a weight absolute humidity of 0.099 (kg/kg (DA)). When the humidity Mout of the outdoor air is determined to be higher than the preset value M1 (in the case of YES), this means that humidification is not preferable. In this case, the operation goes to step S14 in which the control device 50 drives the air valve 44 so as to close the duct 27 side and to open the duct 28 side. Further, in order to discharge the exhaust air in the same amount as the taken-in outdoor air from the computer room 10 to the outdoors, the control device 50 drives the air valve 41 so as to open (continue to open) the duct 22. Thereafter, the operation goes to step S15 to rotate (start rotation or continue rotation of) the fans 46 and 48. Accordingly, the outdoor air passes through the duct 26, the duct 28, and the duct 25 in this order and is introduced into the computer room 10. In the meantime, the exhaust air is discharged from the computer room 10 through the ducts 21 and 22. In other words, the outdoor air is introduced into the computer room 10 without passing through the desiccant rotor 31 in this case. After the processing in step S14 and S15 is executed, the operation returns to step S11. If the fan 47 and the desiccant rotor 31 are rotated in step S17 to be described later, then the rotation of the fan 47 and the desiccant rotor 31 is stopped in step S15. On the other hand, when the humidity Mout of the outdoor air is determined to be equal to or below the preset value M1 (in the case of NO) in step S13, this means that humidification is preferable when introducing the outdoor air. In this case, the operation goes to step S16 in which the control device 50 drives the air valve 44 so as to close the duct 28 side and to open the duct 27 side. Thereafter, the operation goes to step S17 in which the control device 50 rotates (starts rotation or continues rotation of) the fans 46, 47, and 48, as well as rotates (starts rotation or continues rotation of) the desiccant rotor 31. Accordingly, the relatively high-temperature air (such as from 30° C. to 35° C.) discharged from the racks 12 is introduced into the duct 21 and the desiccant rotor 31 starts rotation at a preset rotational speed. Meanwhile, water is supplied to the evaporator (the water storage unit 36) via the water supply pipe 39. Hence, the inside of the water vapor retention chamber 20 turns into the state of a high temperature and a high humidity, and a large amount of moisture is adsorbed to the desiccant rotor 31. The moisture is carried to the humidification chamber 20b with rotation of the desiccant rotor 31. In the meantime, the air in the computer room 10 is introduced into the duct 24 by rotation of the fan 47 while the outdoor air is introduced into the duct 26 by rotation of the fan 48. These air components are mixed at the junction of the duct 24 and the duct 27 and go into the humidification chamber 20b of the humidity adjustment unit 20. The humidity of this mixed air is increased by receiving sufficient moisture when passing through the desiccant rotor 31 located in the humidification chamber 20b, and the mixed air is then introduced into the computer room 10 via the duct 25. After the processing in step S16 and S17 is executed, the operation goes to step S18. In step S18, the control device 50 acquires detection results of the temperature and humidity of the air, which passes through the duct 25, from the sensor unit 52. Then, the operation goes to step S19 in which the control device 50 controls the rotational speed of the desiccant rotor 31 or the rotational speed of the fan 47 on the basis of the humidity of the air passing through the duct 25. Specifically, the rotational speed of the desiccant rotor 31 is increased or the rotational speed of the fan 47 is increased when the humidity of the air passing through the duct 25 is lower than a preset range. On the other hand, the rotational speed of the desiccant rotor 31 is reduced or the rotational speed of the fan 47 is reduced when the humidity of the air passing through the duct 25 is higher than the preset range. Then, the operation returns to step S11 and the above-described processing is repeated. This processing is repeatedly carried out until stopped by an operator. As described above, in this embodiment, the outdoor air is introduced into the computer room 10 when the temperature of the outdoor air is low. In addition, when the humidity of the outdoor air is low, the air to be introduced into the computer room 10 is humidified with the water vapor generated by using the high-temperature air discharged from the computer room 10. This may make it possible to reduce loads on the air-conditioning machine 15 and the humidifier located in the computer room 10, and thereby to bring about an effect that power used for air conditioning the computer room 10 may be reduced. Here, it is preferable to dry the inside of the water vapor retention chamber 20a when the air-conditioning system is stopped for a long period. In the case of the air-conditioning system of this embodiment, the water vapor retention chamber 20a may be dried by rotating the fan 46 while opening the air valves 42 and 43 as well as closing the air valve 41. In the above-described embodiment, the outdoor air introduced through the duct 26 is mixed with the air in the computer room 10 introduced through the duct 24, and then the mixed air is supplied to the desiccant rotor device 30. Instead, the outdoor air may be supplied directly to the desiccant rotor device 30. However, in the latter case, the amount of humidification is likely to be reduced because the air supplied to the desiccant rotor device 30 has a low temperature. For this reason, it is preferable to mix the outdoor air with the air in the computer room 10 and thereby to increase the temperature of the mixed air as in the above-described embodiment. Further, the mixing ratio between the air in the computer room 10 introduced through the duct 24 and the outdoor air may be changed depending on the temperature detected by the sensor unit 52. A result of investigation of power consumption in the case of performing humidification by using the desiccant rotor device and the case of performing humidification by using a heating-type humidifier will be described below. Example 1 The air-conditioning system depicted in FIG. 2 is constructed as Example 1. The desiccant rotor 31 has a diameter of 20 cm. Airtightness of each of a space between a housing of the water vapor retention chamber 20a and the desiccant rotor 31 and a space between a housing of the humidification chamber 20b and the desiccant rotor 31 is secured by using a seal material (a packing) made of a fluororesin. The desiccant rotor 31 employing a corrugated fin structure is used. Activated carbon in an amount of about 600 g, which is subjected to a surface treatment with nitric acid and thereby provided with a hydrophilic property, is filled as the adsorbent in the desiccant rotor 31. The activated carbon has a grain size of about 500 μm. Then, the air-conditioning system is operated when the outdoor air has the temperature of about 10° C. and the humidity of about 35%. At this time, the air introduced from the computer room 10 into the ducts 21 and 24 has the temperature of about 35° C. and the humidity of about 28%. Meanwhile, the air prepared by mixing the air in the computer room 10 with the outdoor air at a volume ratio of 1:1 is supplied to the humidification chamber 20b at a flow rate of 1 m3/min. The temperature of the air after passing through the desiccant rotor 31 is measured with the sensor unit 52 inside the duct 25, and the numbers of revolutions of the desiccant rotor device 30 and the fans 46 to 48 are controlled in such a manner as to set the temperature of the air flowing in the duct 25 equal to 20° C. and to set the humidity thereof equal to 55%. Here, the number of revolutions of the desiccant rotor 31 is set to 1 to 2 revolutions per minute. In this case, the power consumption by the desiccant rotor device 30 is equal to 50 W while the power consumption by the fans 46 to 48 is equal to 120 W, and the total power consumption is equal to 170 W. Example 2 An air-conditioning system is constructed in a similar manner to Example 1 except that silica gel (manufactured by Fuji Silysia Chemical Ltd.) in an amount of 800 g is filled as the adsorbent in the desiccant rotor 31. In addition, similarly to Example 1, the temperature of the air after passing through the desiccant rotor 31 is measured with the sensor unit 52 inside the duct 25, and the numbers of revolutions of the desiccant rotor device 30 and the fans 46 to 48 are controlled in such a manner as to set the temperature of the air flowing in the duct 25 equal to 20° C. and to set the humidity thereof equal to 55%. Here, the number of revolutions of the desiccant rotor 31 is set to 1 revolution per minute. In this case, the power consumption by the desiccant rotor device 30 is equal to 60 W while the power consumption by the fans 46 to 48 is equal to 120 W, and the total power consumption is equal to 180 W. Comparative Example A heating-type humidifier 63 is located inside a duct 61 as depicted in FIG. 6. Relatively high-temperature air is introduced from a computer room into the duct 61 by a fan 64, and humidified air is released in the computer room from the opposite side. Here, the heating-type humidifier 63 includes a non-woven fabric, a water supply pipe configured to supply water to the non-woven fabric, and an electric heater configured to heat the non-woven fabric. A duct 62 is connected to a halfway portion (downstream of the heating-type humidifier 63) of the duct 61. Outdoor air is introduced from the outdoors into this duct 62 by a fan 65. In addition, a sensor unit 66 configured to detect the temperature and humidity of the air passing through the duct 61 is located at an outlet side of the duct 61. Using the air-conditioning system depicted in FIG. 6, the numbers of revolutions of the fans 64 and 65 are controlled in such a manner as to set a volume ratio between the air introduced from the computer room into the duct 61 and the air (the outdoor air) introduced from the outdoors into the duct 62 equal to 1:1. As a result, the temperature of the air discharged from the duct 61 is set equal to 20° C. and the humidity thereof is set equal to 50%. In this case, the total power consumption by the heating-type humidifier 63 (the heater) and the fans 64 and 65 is equal to 350 W. From Examples 1 and 2 as well as Comparative Example described above, the power reduction effect of the air-conditioning systems according to the embodiment is successfully confirmed. It is to be noted that the technique disclosed in the above-described embodiment is not limited only to an air-conditioning system for air conditioning a computer room, but may be also applicable to air conditioning in a room where an electronic instrument other than a computer is installed. All examples and conditional language recited herein are intended for the pedagogical purposes of aiding the reader in understanding the invention and the concepts contributed by the inventor to further the art, and are not to be construed as limitations to such specifically recited examples and conditions, nor does the organization of such examples in the specification relate to a showing of the superiority and inferiority of the invention. Although one or more embodiments of the present invention have been described in detail, it should be understood that the various changes, substitutions, and alterations could be made hereto without departing from the spirit and scope of the invention.",F24F31423,F24F314,20160115,20170606,20160512,64422.0 45,14996523,REJECTED,BIKEBOARD,"The present invention is directed to a rideable and steerable “bikeboard” that combines aspects of bicycling and longboard-style skateboarding. In one embodiment, the bikeboard is a low-profile riding platform connected to a steerable front bicycle assembly with a modular frame. The riding platform may take the form of a longboard-style deck with removable front and rear wheel truck assemblies. The front bicycle assembly includes a large, front wheel, bicycle-style handlebars and a braking system.","1. A modular frame assembly for a bikeboard having a front bicycle assembly and a deck assembly, the modular frame assembly comprising: a frame body having a steering connector configured to be coupled to the front bicycle assembly; an upper mounting plate coupled to the frame body, the upper mounting plate having an upper plate bolt pattern arranged for attaching to an upper surface of a front portion of the deck assembly, wherein the deck assembly includes a complementary deck bolt pattern; and a lower mounting plate having a lower plate bolt pattern arranged for attaching to a bottom surface of the deck assembly with the deck bolt pattern, wherein upper and lower mounting plates operate to sandwich a front portion of the deck assembly. 2. The modular frame assembly of claim 1, wherein the frame body and the upper mounting plate are integrally formed as a unitary structure. 3. The modular frame assembly of claim 1, wherein a lower mounting plate profile is complementary to an upper mounting plate profile. 4. The modular frame assembly of claim 1, wherein the deck bolt pattern is a standard, four-bolt skateboard pattern for attaching a front truck. 5. The modular frame assembly of claim 1, wherein the upper and lower mounting plates are sized to permit flexion of the deck assembly aft of the mounting plates. 6. The modular frame assembly of claim 1, wherein the frame body is structurally configured to transfer loads between the front bicycle assembly and the deck assembly. 7. The modular frame assembly of claim 6, wherein the upper and lower mounting plates are sized to distribute loads from the frame body to the deck assembly. 8. A bikeboard comprising: a front bicycle assembly having a wheel and handlebars; a deck assembly having a riding platform coupled to a rear truck, which is coupled to wheels; a frame body having a steering connector configured to be coupled to the front bicycle assembly; an upper mounting plate coupled to the frame body, the upper mounting plate having an upper plate bolt pattern arranged for attaching to an upper surface of a front portion of the deck assembly, wherein the deck assembly includes a complementary deck bolt pattern; and a lower mounting plate having a lower plate bolt pattern arranged for attaching to a bottom surface of the deck assembly with the deck bolt pattern, wherein upper and lower mounting plates operate to sandwich the front portion of the deck assembly. 9. The modular frame assembly of claim 8, wherein the frame body and the upper mounting plate are integrally formed as a unitary structure. 10. The modular frame assembly of claim 8, wherein a lower mounting plate profile is complementary to an upper mounting plate profile. 11. The modular frame assembly of claim 8, wherein the deck bolt pattern is a standard, four-bolt skateboard pattern for attaching a front truck to the front portion of the deck assembly. 12. The modular frame assembly of claim 8, wherein the upper and lower mounting plates are sized to permit flexion of the deck assembly aft of the mounting plates. 13. The modular frame assembly of claim 8, wherein the frame body is structurally configured to transfer loads between the front bicycle assembly and the deck assembly. 14. The modular frame assembly of claim 8, further including a braking system coupled to the front bicycle assembly. 15. A method of configuring a bikeboard, the method comprising: removing a front truck from a deck assembly; with a front bicycle assembly coupled to a frame assembly, placing an upper mounting plate of the frame assembly in contact with a front portion and an upper surface of the deck assembly; placing a lower mounting plate of the frame assembly in contact with the front portion and a lower surface of the deck assembly; and fastening the upper and lower mounting plates to the deck assembly so as to sandwich the front portion of the deck assembly between the mounting plates, wherein the fastening includes inserting fasteners through complementary bolt patterns formed in each of the front portion of the deck assembly, the upper mounting plate and the lower mounting plate. 16. The method of claim 15, further comprising replacing the front bicycle assembly with a different front bicycle assembly. 17. The method of claim 15, further comprising replacing the deck assembly with a different deck assembly. 18. The method of claim 15, further comprising replacing the frame assembly with a different frame assembly."," BACKGROUND Bicycles and skateboards are traditionally two distinct human-powered, modes of transportation. Both may be used for recreational, competitive, leisure, or other purposes. There have been several attempts to combine these two types of transportation. One known product is a skateboard-bike hybrid kick scooter made by Sbyke USA, IC, headquartered in Las Vegas, Nev., USA. The hybrid kick scooter features a special L-shaped frame that connects a handlebar assembly, a bicycle BMX type wheel and a small board or deck (hereinafter “deck”). Another known product is also a hybrid kick scooter made by the Mongoose BikeBoard Group having a unitary frame design that extends a length of the deck, which means the frame extends from the handlebar forks to the rear truck of the deck."," BRIEF SUMMARY In one aspect of the present invention, a modular frame assembly for a bikeboard having a front bicycle assembly and a deck assembly includes a frame body, an upper mounting plate, and a lower mounting plate. The frame body has a steering connector configured to be coupled to the front bicycle assembly. The upper mounting plate is coupled to the frame body. The upper mounting plate includes an upper plate bolt pattern arranged for attaching to an upper surface of a front portion of the deck assembly. The deck assembly includes a complementary deck bolt pattern. The lower mounting plate includes a lower plate bolt pattern arranged for attaching to a bottom surface of the deck assembly with the deck bolt pattern. The upper and lower mounting plates operate to sandwich a front portion of the deck assembly. In another aspect of the present invention, a bikeboard includes a front bicycle assembly having a wheel, handlebars, and a braking system. A deck assembly includes a riding platform coupled to a rear truck, which is coupled to skateboard wheels. A frame body has a steering connector configured to be coupled to the front bicycle assembly. An upper mounting plate is coupled to the frame body, the upper mounting plate having an upper plate bolt pattern arranged for attaching to an upper surface of a front portion of the deck assembly. The deck assembly includes a complementary deck bolt pattern. A lower mounting plate has a lower plate bolt pattern arranged for attaching to a bottom surface of the deck assembly with the deck bolt pattern. The upper and lower mounting plates operate to sandwich the front portion of the deck assembly. In yet another aspect of the present invention, a method of configuring a bikeboard is provided. The method includes the steps of (1) removing a front truck from a deck assembly; (2) with a front bicycle assembly coupled to a frame assembly, placing an upper mounting plate of the frame assembly in contact with a front portion and an upper surface of the deck assembly; (3) placing a lower mounting plate of the frame assembly in contact with the front portion and a lower surface of the deck assembly; and (4) fastening the upper and lower mounting plates to the deck assembly so as to sandwich the front portion of the deck assembly between the mounting plates. The fastening includes inserting fasteners through complementary bolt patterns formed in each of the front portion of the deck assembly, the upper mounting plate, and the lower mounting plate.","PRIORITY CLAIM The present application claims the benefit of U.S. Provisional Patent Application No. 62/106,099 filed on Jan. 21, 2015, the contents of which are hereby incorporated by reference in its entirety. FIELD The present invention is generally directed to a bikeboard, and more specifically to a bikeboard having a modular frame assembly. BACKGROUND Bicycles and skateboards are traditionally two distinct human-powered, modes of transportation. Both may be used for recreational, competitive, leisure, or other purposes. There have been several attempts to combine these two types of transportation. One known product is a skateboard-bike hybrid kick scooter made by Sbyke USA, IC, headquartered in Las Vegas, Nev., USA. The hybrid kick scooter features a special L-shaped frame that connects a handlebar assembly, a bicycle BMX type wheel and a small board or deck (hereinafter “deck”). Another known product is also a hybrid kick scooter made by the Mongoose BikeBoard Group having a unitary frame design that extends a length of the deck, which means the frame extends from the handlebar forks to the rear truck of the deck. BRIEF SUMMARY In one aspect of the present invention, a modular frame assembly for a bikeboard having a front bicycle assembly and a deck assembly includes a frame body, an upper mounting plate, and a lower mounting plate. The frame body has a steering connector configured to be coupled to the front bicycle assembly. The upper mounting plate is coupled to the frame body. The upper mounting plate includes an upper plate bolt pattern arranged for attaching to an upper surface of a front portion of the deck assembly. The deck assembly includes a complementary deck bolt pattern. The lower mounting plate includes a lower plate bolt pattern arranged for attaching to a bottom surface of the deck assembly with the deck bolt pattern. The upper and lower mounting plates operate to sandwich a front portion of the deck assembly. In another aspect of the present invention, a bikeboard includes a front bicycle assembly having a wheel, handlebars, and a braking system. A deck assembly includes a riding platform coupled to a rear truck, which is coupled to skateboard wheels. A frame body has a steering connector configured to be coupled to the front bicycle assembly. An upper mounting plate is coupled to the frame body, the upper mounting plate having an upper plate bolt pattern arranged for attaching to an upper surface of a front portion of the deck assembly. The deck assembly includes a complementary deck bolt pattern. A lower mounting plate has a lower plate bolt pattern arranged for attaching to a bottom surface of the deck assembly with the deck bolt pattern. The upper and lower mounting plates operate to sandwich the front portion of the deck assembly. In yet another aspect of the present invention, a method of configuring a bikeboard is provided. The method includes the steps of (1) removing a front truck from a deck assembly; (2) with a front bicycle assembly coupled to a frame assembly, placing an upper mounting plate of the frame assembly in contact with a front portion and an upper surface of the deck assembly; (3) placing a lower mounting plate of the frame assembly in contact with the front portion and a lower surface of the deck assembly; and (4) fastening the upper and lower mounting plates to the deck assembly so as to sandwich the front portion of the deck assembly between the mounting plates. The fastening includes inserting fasteners through complementary bolt patterns formed in each of the front portion of the deck assembly, the upper mounting plate, and the lower mounting plate. BRIEF DESCRIPTION OF THE DRAWINGS Preferred and alternative embodiments of the present invention are described in detail below with reference to the following drawings: FIG. 1A is a side, perspective view of a bikeboard according to an embodiment of the present invention; FIG. 1B is a bottom, perspective view of the bikeboard of FIG. 1A; FIG. 2 is perspective view of a modular frame assembly of the bikeboard of FIG. 1A; and FIG. 3 are perspective views of several different modular frame assemblies for a bikeboard according to other embodiments of the present invention. DETAILED DESCRIPTION In the following description, certain specific details are set forth in order to provide a thorough understanding of various embodiments of the invention. However, one skilled in the art will understand that the invention may be practiced without these details. In other instances, well-known structures associated bicycles and skateboards have not necessarily been shown or described in detail to avoid unnecessarily obscuring descriptions of the embodiments of the invention. The present invention is directed to a rideable and steerable “bikeboard” that combines aspects of bicycling and longboard-style skateboarding. In one embodiment, the bikeboard is a low-profile riding platform connected to a steerable front bicycle assembly with a modular frame. The riding platform may take the form of a longboard-style deck with removable front and rear wheel truck assemblies. The front bicycle assembly includes a large, front wheel, bicycle-style handlebars and a braking system. FIGS. 1A and 1B show a bikeboard 100 having a deck assembly 102, a front bicycle assembly 104 and a modular frame assembly 106 according to an embodiment of the present invention. The deck assembly 102 may include a riding platform 108 coupled to a rear truck 110, which in turn is coupled to at least one pair of skateboard-type wheels 112. The board 108, the rear truck 110 and the wheels 112 may take the form of standard, off-the-shelf skateboard or longboard components. As used herein, the term “skateboard” includes any type of riding platform such as, but not limited to, boards, decks, and longboards that may have trucks and wheels. The front bicycle assembly 104 may include a wheel 114, handlebars 116, a handlebar stem 118 and a pair of forks 120. The front bicycle assembly 104 may also include a brake system 122. The front bicycle assembly 104 may be assembled using standard, off-the-shelf bicycle components and may also include custom components specific to the present bikeboard. The bikeboard may be obtained as a complete system, a kit, or as separate individual components or sub-assemblies. The modular frame assembly 106 includes a frame body 124 secured to an upper mounting plate 126. Referring briefly to FIG. 1B, the modular frame assembly 106 also includes a lower mounting plate 128. The mounting plates 126, 128 operate to sandwich the board 108 using mounting holes preferably arranged in a bolt pattern consistent with a standard front skateboard truck as will be explained in more detail below. In one embodiment, the modular frame assembly 106 is universally attachable to a variety of front bicycle components and a variety of skateboard components to generate many different bikeboard systems. The deck assembly 102, in particular, may be easily and quickly transformed from a skateboard to a bikeboard, and then back again. FIG. 2 shows the modular frame assembly 106 having the frame body 124, the upper mounting plate 126 and the lower mounting plate 128. The frame body 124 includes a steering connector 130 (such as a standard steering tube). In the illustrated embodiment, the frame body 124, the upper mounting plate 126 and the steering connector 130 are welded together. However, these components may be produced as a unitary, monolithic structure or may be assembled together using known techniques such as, but not limited to, gluing, stamping, fastening, etc. The mounting plates 126, 128 each have a matching four-bolt pattern that preferably aligns with a standard, four-bolt skateboard truck pattern. However, it is appreciated that other bolt patterns or attachment means may be utilized to structurally connect the modular frame assembly 106 to the deck assembly 102. The upper mounting plate 126 and the lower mounting plate 128 operate to sandwich the riding platform 108 (FIG. 1A). The plates 126, 128 are sized to distribute the various loads applied to the bikeboard while allowing the riding platform 108 to remain flexible (e.g., bending, torsion, shear) aft of the mounting location. The plates 126, 128 include enlarged surface areas to spread the load that is encountered at the joining location to a larger area of the board so as to decrease the point forces on the board. In this way, the plates avoid breakage of the board, even with heavy and/or aggressive riders and terrain. The fastening location of the frame assembly 106 to the deck assembly 102, being distanced from the front wheel and from the rear wheels, encounters significant bending and torsional forces. The arrangement of the plates clamped on either side of the board and spreading the load with the large size of the plates helps advantageously resolve these forces to be carried by the frame assembly and the deck assembly. The flexibility of the plates can be tailored to progressively distribute the load. Thus, some flex in the plates themselves away from the fastener locations in the plates can also be advantageous. As noted above, the plates allow the riding platform to remain flexible aft of the mounting location. The torsional flexibility of the riding platform 108 aft of the mounting location may allow the bikeboard to be at least partially steered with the rear truck 110 (FIG. 1A), which is somewhat like steering a skateboard by using a rider's movements and center of gravity to control the skateboard. Likewise, the front bicycle assembly 104 (FIG. 1A) may be steered like a bicycle vis-à-vis the handlebars. Steering can be a combination of front wheel, rear truck, and board flex steering. FIG. 3 shows three different modular frame assemblies 200, 202, 204 (lower mounting plate 128 not shown). It is contemplated that the modular frame assembly may take many different shapes and configurations depending on a variety of factors such as, but not limited to, aesthetics, strength, flexibility, cost, the type of front bicycle assembly, and the type of deck assembly. In operation, the bikeboard may be maneuvered based on the rider's chosen foot placement. By way of example, the bikeboard may be ridden in a sideways stance such as on a snowboard for deep carving, in a side-by-side forward facing manner akin to a slalom position for speed and stability, or with one foot behind the other in a traditional skateboarding style. With a universal bolt pattern, the deck assembly may be quickly and easily exchanged with a different deck assembly as effortlessly as changing out a skateboard truck. In addition, riders may create customized bikeboards by using different deck assemblies, front bicycle assemblies, modular frame assemblies, and various components or sub-assemblies of the same. While preferred embodiments of the invention have been illustrated and described, as noted above, many changes can be made without departing from the spirit and scope of the invention. In addition, other advantages will also be apparent to those of skill in the art with respect to any of the above-described embodiments whether viewed individually or in some combination thereof. Accordingly, the scope of the invention is not limited by the disclosure of the preferred embodiment. Instead, the invention should be determined entirely by reference to the claims that follow.",B62K3002,B62K300,20160115,,20160721,85031.0 46,14997001,PENDING,METHOD AND APPARATUS FOR ELECTRONIC PAYMENT AND AUTHENTICATION,"A first device may be operable to receive a request to accept a payment via an ISO 18000-7 interface. The first device may transmit, via the ISO 18000-7 interface, a wake-up message to a second device comprising an ISO 18000-7 interface. The first device may transmit, via the ISO 18000-7 interface, an authorization request to the second device. The first device may receive an authorization message from the second device. The first device may decide whether to accept the payment based on the received authorization message. The first device may register with a retail outlet's network upon coming into range of a registration terminal of the network. While registered with the network, the first device may communicate with the network utilizing the ISO 18000-7 interface. The first device may deregister with the network upon coming into range of a deregistration terminal of the network.","1. A method comprising: performing by a first communication device comprising an ISO 18000-7 interface: receiving a request to accept a payment via said ISO 18000-7 interface; transmitting, via said ISO 18000-7 interface, a wake-up message to a second communication device comprising an ISO 18000-7 interface; transmitting, via said ISO 18000-7 interface, an authorization request to said second communication device; receiving an authorization message from said second communication device; and deciding whether to accept said payment based on said received authorization message. 2. The method of claim 1, comprising deciding to accept said payment if said authorization message comprises a correct security code. 3. The method of claim 1, comprising deciding to decline said payment if said authorization message does not comprise a correct security code. 4. The method of claim 1 comprising, after accepting said payment, transmitting, via said ISO 18000-7 interface, a cookie to said second communication device, wherein said cookie enables access to a receipt for said payment. 5. The method of claim 1, wherein said second communication device is an ISO 7816 compliant smartcard. 6. The method of claim 1, wherein said first communication device is a point-of-sale terminal in a retail outlet. 7. The method of claim 1, wherein said first communication device is operated by a financial institution. 8. A system comprising: a first communication device comprising an ISO 18000-7 interface, said first communication device being operable to: receive, via said ISO 18000-7 interface, a request to authorize a payment; transmit, via said ISO 18000-7 interface, a search packet requesting a response from a second communication device; decide whether to authorize said payment based on a response, if any, received from said second communication device. 9. The system of claim 8, wherein said first communication device decides to accept said payment when a response comprising a proper security code is received from said second communication device. 10. The system of claim 8, wherein said first communication device decides to decline said payment when a response comprising a proper security code is not received from said second communication device. 11. The system of claim 8, wherein said first communication device is a smartphone. 12. The system of claim 8, wherein said second communication device is an ISO 7816 compliant smartcard. 13. A method comprising: performing by a communication device comprising an ISO 18000-7 radio: registering with a network of a retail outlet upon coming into range of a registration terminal of said network of said retail outlet; while registered with said network of said retail outlet, communicating with said network of said retail outlet utilizing said ISO 18000-7 radio; deregistering with said network of said retail outlet upon coming into range of a deregistration terminal of said network of said retail outlet. 14. The method of claim 13, wherein: said communication device comprises a near-field radio; and said registering and said deregistering are performed via said near-field radio. 15. The method of claim 13, wherein said communication device is an ISO 7816 compliant smartcard. 16. The method of claim 13, wherein said communicating with said network comprises transmitting a purchase order to said network. 17. The method of claim 13, wherein said communicating with said network comprises transmitting payment information to said network. 18. The method of claim 13, wherein said communicating with said network comprises receiving advertisements from said retail outlet. 19. The method of claim 18, wherein said communicating with said network comprises transmitting responses to said advertisements. 20. The method of claim 13, wherein said communicating with said network comprises uploading information about products viewed or purchased by a holder of said communication device."," BACKGROUND OF THE INVENTION Existing methods of electronic payment and authentication are limited in terms of security, functionality, and flexibility. Further limitations and disadvantages of conventional and traditional approaches will become apparent to one of skill in the art, through comparison of such systems with some aspects of the present invention as set forth in the remainder of the present application with reference to the drawings."," BRIEF SUMMARY OF THE INVENTION A system and/or method is provided for electronic payment and authentication, substantially as illustrated by and/or described in connection with at least one of the figures, as set forth more completely in the claims. These and other advantages, aspects and novel features of the present invention, as well as details of an illustrated embodiment thereof, will be more fully understood from the following description and drawings.","CLAIM OF PRIORITY This patent application is a continuation of U.S. Non-Provisional application Ser. No. 14/870,258 which was filed on Sep. 30, 2015, which is a continuation of U.S. Non-Provisional application Ser. No. 13/289,054 which was filed on Nov. 4, 2011, and which claims priority to U.S. Provisional Application Ser. No. 61/456,271 filed on Nov. 4, 2010, now expired. The above-referenced applications are hereby incorporated herein by reference in their entirety. INCORPORATION BY REFERENCE This patent application also makes reference to: U.S. Provisional Patent Application No. 61/464,376 filed on Mar. 2, 2011; U.S. patent application Ser. No. 13/267,640 filed on Oct. 6, 2011; U.S. patent application Ser. No. 13/267,621 filed on Oct. 6, 2011; U.S. patent application Ser. No. 13/270,802 filed on Oct. 11, 2011; and U.S. patent application Ser. No. 13/270,959 filed on Oct. 11, 2011 Each of the above-referenced applications is hereby incorporated herein by reference in its entirety. FIELD OF THE INVENTION Certain embodiments of the invention relate to communications. More specifically, certain embodiments of the invention relate to a method and apparatus electronic payment and authentication. BACKGROUND OF THE INVENTION Existing methods of electronic payment and authentication are limited in terms of security, functionality, and flexibility. Further limitations and disadvantages of conventional and traditional approaches will become apparent to one of skill in the art, through comparison of such systems with some aspects of the present invention as set forth in the remainder of the present application with reference to the drawings. BRIEF SUMMARY OF THE INVENTION A system and/or method is provided for electronic payment and authentication, substantially as illustrated by and/or described in connection with at least one of the figures, as set forth more completely in the claims. These and other advantages, aspects and novel features of the present invention, as well as details of an illustrated embodiment thereof, will be more fully understood from the following description and drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 depicts an exemplary communication system comprising a multi-band, multi-mode communication device. FIG. 2 depicts an exemplary multi-band, multi-mode communication device. FIG. 3 depicts an exemplary memory partitioning in a multi-band, multi-mode communication device. FIG. 4 is a diagram of an exemplary multi-band, multi-mode communication device. FIG. 5A illustrates devices operable to carry out a retail transaction. FIG. 5B is a flowchart illustrating steps of an exemplary financial transaction depicted in FIG. 5A. FIG. 5C is a flowchart illustrating steps of an exemplary financial transaction depicted in FIG. 5A. FIG. 6A illustrates an exemplary electronic financial transaction, in accordance with an embodiment of the invention. FIG. 6B is a flowchart illustrating exemplary steps of the financial transaction depicted in FIG. 6A. FIG. 7A depicts exemplary communications with a network of a retail outlet, in accordance with an embodiment of the invention. FIG. 7B depicts exemplary communications with a network of a retail outlet, in accordance with an embodiment of the invention. DETAILED DESCRIPTION OF THE INVENTION As utilized herein the terms “circuits” and “circuitry” refer to physical electronic components (i.e. hardware) and any software and/or firmware (“code”) which may configure the hardware, be executed by the hardware, and or otherwise be associated with the hardware. As utilized herein, “and/or” means any one or more of the items in the list joined by “and/or”. As an example, “x and/or y” means any element of the three-element set {(x), (y), (x, y)}. As another example, “x, y, and/or z” means any element of the seven-element set {(x), (y), (z), (x, y), (x, z), (y, z), (x, y, z)}. As utilized herein, the terms “block” and “module” refer to functions than can be implemented in hardware, software, firmware, or any combination of one or more thereof. As utilized herein, the term “exemplary” means serving as a non-limiting example, instance, or illustration. As utilized herein, the terms “e.g.” and “for example” introduces a list of one or more non-limiting examples, instances, or illustrations. FIG. 1 depicts an exemplary communication system comprising a multi-band, multi-mode communication device. Shown in FIG. 1 is a multi-mode, multi-band communication device 102, a near-field communications (NFC) access point (AP) 104, a far-field communications (FFC) access point (AP) 106, and a contact-based communications (CCB) access point (AP) 110. The NFC AP 104 may comprise circuitry operable to communicate in accordance with one or more near-field communication protocols. For example, the NFC AP 104 may comprise a radio operable to communicate in accordance with protocols specified in one or more of: ISO 18092, ISO 14443, and ISO 18000-3. The FFC AP 106 may comprise circuitry operable to communicate in accordance with one or more far-field communication protocols. For example, the NFC AP 104 may comprise a radio operable to communicate in accordance with protocols specified in ISO 18000-7 and/or in the above-incorporated U.S. Provisional Patent Application 61/464,376 filed on Mar. 2, 2011. The CBC AP 110 may comprise circuitry, including metal contact(s) 111, operable to communicate via the metal contact(s) 111. For example, the CBC AP 104 may comprise a radio operable to communicate in accordance with specifications set forth in ISO 7816. The multi-mode, multi-band communication device 102 may comprise circuitry operable to communicate in accordance with one or more far-field communication protocols (e.g., ISO 18000-7), one or more near-field communication protocols (e.g., ISO 18092, and/or ISO 14443), and one or more contact-based communication protocols (e.g., ISO 7816) via the contact(s) 103. In an exemplary embodiment of the invention, the communication device 102 may be a smartcard having physical dimensions in compliance with ISO 7816. In various other exemplary embodiments, the communication device 102 may be a keyfob, a tag, jewelry, or a wallet. Details of an exemplary communication device 102 are described below with respect to FIG. 2. In operation, the communication device 102 may communicate with any one or more of the NFC AP 104 the FFC AP 106 and CBC AP 110. The communication device 102 may communicate with two or more of the access points sequentially and/or concurrently. The communication device 102 may, for example, communicate with different access points to perform different tasks and/or communicate different information. The communication device 102 may, for example, communicate with multiple access points to improve security of communications and/or reliability of communications. FIG. 2 depicts an exemplary multi-band, multi-mode communication device. The exemplary communication device 102 comprises a near-field radio 202, a far-field radio 208, a contact-based radio 214, input device(s) 220, a display 222, a central processing unit (CPU) 224, a memory 226, a power management module 228, a battery 230, one or more sensors 232, and an antenna 234. The near-field radio 202 may comprise circuitry operable to communicate in accordance with one or more near-field communication protocols. For example, the near-field radio 202 may comprise an analog front-end (AFE) 206 and a processor 204 operable to communicate in accordance with one or more near-field protocols (e.g., protocols specified in ISO 18092, ISO 14443, and/or ISO 18000-3). The processor 204 may comprise circuitry operable to interface with the AFE 206 to receive and transmit data, and to process received and to-be-transmitted data. For transmission, the processor 204 may be operable to receive data via the bus 236, packetize and/or otherwise process the data to prepare it for transmission in accordance with one or more near-field protocols, and output the data to the AFE 206 for transmission. For reception, the processor 204 may be operable to receive data via the AFE 206, process the received data and output received data onto the bus 236. The far-field radio 208 may comprise circuitry operable to communicate in accordance with one or more near-field communication protocols. For example, the far-field radio 208 may comprise an analog front-end (AFE) 212 and a processor 210 operable to communicate in accordance with one or more far-field protocols (e.g., protocols specified in ISO 18000-7 and/or in the above-incorporated U.S. Provisional Patent Application 61/464,376 filed on Mar. 2, 2011). The processor 210 may comprise circuitry operable to interface with the AFE 212 to receive and transmit data, and to process received and to-be-transmitted data. For transmission, the processor 210 may be operable to receive data via the bus 236, packetize and/or otherwise process the data to prepare it for transmission in accordance with one or more far-field protocols (e.g., protocols specified in ISO 18000-7 and/or in the above-incorporated United States Provisional Patent Application 61/464,376 filed on Mar. 2, 2011), and output the data to the AFE 212 for transmission. For reception, the processor 210 may be operable to receive data via the AFE 212, process the received data and output received data onto the bus 236. The contact-based radio 214 may comprise circuitry operable to communicate in accordance with one or more near-field communication protocols. For example, the contact-based radio 214 may comprise an analog front-end (AFE) 218 and a processor 216 operable to communicate in accordance with one or more near-field protocols (e.g., protocols specified in ISO 7816). The processor 216 may comprise circuitry operable to interface with the AFE 218 to receive and transmit data, and to process received and to-be-transmitted data. For transmission, the processor 216 may be operable to receive data via the bus 236, packetize and/or otherwise process the data to prepare it for transmission in accordance with one or more near-field protocols, and output the data to the AFE 218 for transmission. For reception, the processor 216 may be operable to receive data via the AFE 218, process the received data and output received data onto the bus 236. The input device(s) 220 may comprise circuitry operable to receive input from a user of the device 102 and convert the input to digital signals. For example, the input device(s) 220 may comprise one or more hard and/or soft buttons and/or a touchscreen. The display 222 may comprise circuitry operable to output visual signals to a user of the communication device 102. For example, the display 222 may be an OLED or bistable electrophoretic type display. The CPU 224 may comprise circuitry operable to control operation of the first device 102. The CPU 224 may, for example, execute an operating system and/or other programs. The CPU 224 may generate one or more control signals for controlling the operation of the device 102. The CPU 224 may, for example, control a mode of operation of the device 102. Circuitry of the memory 226 may comprise one or more memory cells and may be operable to store data to the memory cell(s) and read data from the memory cell(s). The one or more memory cells may comprise one or more volatile memory cells and/or one or more non-volatile memory cells. The power management module 228 may comprise circuitry operable to manage power allocation and/or power consumption in the communication device 102. The power management module 228 may be operable to, for example, dim and/or turn off the display when it is not needed, turn off one or more of the sensors 232 when not needed, and/or turn off the input device(s) 220 when not needed. Additionally and/or alternatively, the power management module 208 may control charging of the battery 230. For example, the power management module 208 may comprise an energy harvesting circuitry (e.g., to harvest solar energy, kinetic energy, and/or energy inductively coupled to the power management module 228) for charging the battery 208 and/or powering various components of the communication device 102. Additionally and/or alternatively, the power management module 208 may be operable to charge the battery 208 and/or power various components of the communication device 102 via energy received via the contacts 111. In an exemplary embodiment of the invention, certain components and/or functions of the communication device 102 may be disabled when the communication device 102 is not receiving power via, for example, inductive coupling and/or the contact(s) 111, and may be enabled when the communication device 102 is receiving power via, for example, inductive coupling and/or the contact(s) 111. The battery 230 may, for example, a thin film and/or coin cell battery. In an exemplary embodiment of the invention, the battery may be as described in the above-incorporated U.S. Provisional Patent Application 61/404,842 filed on Oct. 12, 2010. The sensor(s) 232 may comprise one or more of: an acoustic sensor operable to sense, e.g., amplitude, phase, polarization, spectrum and/or wave velocity of acoustic waves; a chemical operable to sense, e.g., the presence of any one or more elements and/or compounds in solid, gas, and/or liquid form; an electrical sensor operable to detect, e.g., amplitude, phase, polarization, and/or spectrum of a current and/or voltage, conductivity, and/or permittivity; a magnetic sensor operable to, e.g., detect flux, permeability, amplitude, phase, and/or polarization of a magnetic field; a mechanical sensor operable to detect, e.g., position, acceleration, force, stress, pressure, strain, mass, density, moment, torque, shape, roughness, orientation, and/or stiffness; an optical sensor operable to detect, e.g., amplitude, phase, polarization, and/or spectrum of an optical wave, wave velocity, refractive index, emissivity, reflectivity, and/or absorption; and/or a thermal sensor operable to detect, e.g., temperature, flux, specific heat, and/or thermal conductivity. The sensor(s) 232 may, for example, generate an interrupt to the CPU 224 when an alarm condition is present. The antennas 234 may be operable to transmit and receive electromagnetic signals in one or more frequency bands. In an embodiment of the invention, the antenna 234 may be operable to transmit and receive signals in the ISM frequency band centered at 433.92 MHz and in the ISM frequency band centered at 13.56 MHz. FIG. 3 depicts an exemplary memory partitioning in a multi-band, multi-mode communication device. Shown in FIG. 3 is the NFC radio 202, the FFC radio 208, the contact-based radio 214, and three portions of memory 302, 304, and 306. The portions of memory 302, 304, and 306 may correspond to logical and/or physical partitions of the memory 226. In an exemplary embodiment of the invention, data stored in the memory portion 302 may only be accessible via the NFC radio 202, data stored in the memory portion 304 may only be accessible via the FFC radio 208, and data stored in the memory portion 306 may only be accessible via the contact-based radio 214. Partitioning of the memory may enable increased security for the communication device 102. In an exemplary embodiment, a first portion of a secure message may be stored in the first memory portion 302, a second portion of the secure message may be stored in the second memory portion 304, and neither portion may be useful without the other. In such an embodiment, to obtain the secure data, a device may need to communicate with the communication device via both the NFC radio and the FFC radio. In another exemplary embodiment, security data for authenticating and/or authorizing a communication may be stored in a first of the memory portions 302, 304, and 306 and general data may be stored in a second of the memory portions 302, 304, and 306. In such an embodiment, a communication device may need to be authenticated and/or authorized via a first of the radios 202, 208, and 214 before it can obtain data via a second one of the radios 202, 208, and 214. FIG. 4 is a diagram of an exemplary multi-band, multi-mode communication device. The exemplary device 102 shown in FIG. 4 may be as described above with respect to FIGS. 1-3. The device 102 comprises a screen 422 (e.g., the display 222 of FIG. 2) and a plurality of buttons 401-404. In an exemplary embodiment of the invention in which the device 102 is a smartcard, or other low-complexity device, the screen 422 may be a simple text-only display and the buttons may be “blister” buttons. The user may, for example, enter text by scrolling through an alphanumeric list using buttons 403 and 404, entering the text using button 401 and deleting text using button 402. Other user interfaces comprising other numbers and/or types of inputs may of course also be utilized. In an exemplary embodiment of the invention in which the device 102 is a smartphone, or other high-complexity device, the screen 422 may, for example, be a touchscreen and the device 102 may support a more advanced user interface. FIG. 5A illustrates devices operable to carry out a retail transaction. Shown in FIG. 5A is a point-of-sale terminal 502, an item 508 being purchased, and the communication device 102. Of course, item 508 may comprise a product, a representation of a service to be provided, or anything else that is being offered for sale. The communication device 102 may be as described above with respect to FIGS. 1-4. The exemplary point-of-sale terminal 502 comprises a credit card reader 512, a barcode reader 504, a far-field radio 506, and a near-field radio 510. The far-field radio 506 may be similar to the far-field radio 208 described above with respect to FIG. 2. The near-field radio 510 may be similar to the near-field radio 202 described above with respect to FIG. 2. The credit card reader 512 may be operable to read the magnetic strip of a credit card, and communicate with the credit card company to determine whether to accept payment via the credit card. The barcode reader 504 may be operable to optically scan a barcode and use the barcode to retrieve pricing and/or other information about the item 508 from a database. An exemplary transaction performed by the devices depicted in FIG. 5A will now be described with reference to the flowchart of FIG. 5B. In step 520, the barcode of the item 508 is scanned by the barcode reader 504. In step 522, the customer purchasing the item 508 selects “pay with wireless device” on the point-of-sale terminal 502. In step 524, the point-of-sale terminal 502 transmits a wake-up signal to wake a nearby wireless device. The wake-up signal may be sent by the far-field radio 506 and/or the near-field radio 510. In an exemplary embodiment of the invention, the wake-up signal may be a “wake on” signal as set forth in the ISO 18000-7 standard. The strength and/or directivity of the wake-up signal may be controlled such that it only awakes devices within a particular location (e.g., a small area in front of the point-of-sale terminal 502). In step 526, the device 102 may awake in response to the wake-up signal. In step 527, the point-of-sale terminal may send an authorization request to the device 102. The authorization request may contain information about the transaction (e.g., description of the item, its price, and the location of the transaction). In step 528, the device 102 may prompt its user for authorization. For example, the screen 222 may display a message: “purchase item 508 from retail store x?” The user may authorize the transaction by, for example, entering a PIN into the device 102. In step 530, if the user does not enter the correct PIN, then in step 538 the transaction may be cancelled (i.e., the payment is declined). If the user does enter the correct PIN, then in step 532 the device 102 may send a message authorizing the payment. The authorization message may be send via the far-field radio 208 and/or the near-field radio 510 of the device 102. In step 534, upon receiving the payment authorization, the point-of-sale terminal 502 may complete the transaction. In step 536, the point-of-sale terminal 502 may send a record of the transaction to the device 102 and/or to an account (e.g., an email account) associated with the device 102. For example, the authorization message from the device 102 may include an email address to which the receipt is to be sent. The receipt may be sent via the far-field radio 506, the near-field radio 510, and/or via another network connection (e.g., an Ethernet port of the point-of-sale terminal 502). In an exemplary embodiment of the invention, the receipt may be stored online and a cookie which enables access to the receipt may be sent to the device 102 via the far-field radio 506 and/or the near-field radio 510. For example, the cookie may comprise a unique confirmation number which can be entered into the retail outlet's website to retrieve the receipt. Another exemplary transaction performed by the devices depicted in FIG. 5A will now be described with reference to the flowchart of FIG. 5C. In step 550, the barcode of the item 508 is scanned by the barcode reader 504. In step 552, the customer purchasing the item 508 selects “pay with credit/debit” on the point-of-sale terminal 502 and slides his credit/debit card. In step 554, the point-of-sale terminal 502 may perform a look-up to determine a wireless device associated with the swiped debit/credit card. In step 556, the terminal 502 may transmit a message to determine whether the device 102 is in-range of the terminal 502. If the device 102 does not respond, and/or does not respond with the correct security information (e.g., responds with an incorrect PIN and/or hardware unique key), then, in step 562, the payment may be declined. If the device 102 does respond with the correct security information, then in step 558, the payment may be accepted. In step 560, the point-of-sale terminal 502 may send a record of the transaction to the device 102 and/or to an account (e.g., an email account) associated with the customer or the device 102 (e.g., the authorization message from the device 102 may include an email address to which the receipt is to be sent). The receipt may be sent via the far-field radio 506, the near-field radio 510, and/or via a network connection (e.g., an Ethernet port of the point-of-sale terminal 502). In an exemplary embodiment of the invention, the receipt may be stored online and a cookie which enables access to the receipt may be sent to the device 102 via the far-field radio 506 and/or the near-field radio 510. For example, the cookie may comprise a unique confirmation number which can be entered into the retail outlet's website to retrieve the receipt. FIG. 6A illustrates and exemplary electronic financial transaction, in accordance with an embodiment of the invention. Shown in FIG. 6A is a computing device 602 connected to a network 606 of a payment processor via a wide area network (WAN) 604. The network 606 may comprise one or more servers 608 (in addition to other network components such as physical links, routers, and switches, not shown). The WAN 604 may be any network (or plurality of networks) suitable for communicating over a wide area outside of a premises. The WAN 604 may comprise, for example, a digital subscriber line (DSL) network, a cable network, a wireless network, and/or a cellular network. The WAN 604 may comprise one or more wireless access points (WAPs) which supports one or more wireless protocols. In an exemplary embodiment of the invention, the WAP 610 may be similar to, or the same as, the access point 106 (FIG. 1A) and may utilize ISO 18000-7 for wireless communications (the WAP 610 may be referred to as a “DASH7 base station”). Access points 610 of the WAN 604 may, for example, be collocated with cellular base stations. The computing device 602 may be any device suitable for communicating over the WAN 604. The computing device 602 may be, for example, a laptop or desktop computer, a tablet, or a smartphone. The server(s) 608 of the network 606 may store information regarding financial accounts. For example, the network 606 may be run by a credit card company and the servers 606 may store information about credit card accounts (e.g., authorized users, associated electronic devices, recent transactions, outstanding balances, etc.). An exemplary transaction performed by the system depicted in FIG. 6A will now be described with reference to the flowchart of FIG. 6B. In step 620, the computing device 602 may submit an online payment (depicted as arrow 603) attempting to draw on a particular financial account. The payment may traverse one or more wired, wireless, and/or optical links of the WAN 604. In step 622, a look-up performed in the server(s) 608 may identify the device 102 as being associated with the particular financial account. In step 624, the payment processor may send an authorization request to the device 102. In an exemplary embodiment of the invention, the authorization request may be sent out-of-band with the WAN 604 via the access point 610. In such an embodiment, the authorization request may traverse one or more wired, wireless, and/or optical links of the WAN 604 in travelling to the WAP 610 (depicted as arrow 605), and may traverse a wireless link from the WAP 610 to the device 102 (depicted as arrow 607). In another exemplary embodiment of the invention, the authorization request may be sent to the device 602 via the WAN 604 (depicted as arrow 609). The computing device 602 may need to communicate wirelessly with the device 102 (depicted as arrow 611) to, for example, decrypt the authorization request and obtain an authorization code which may then be sent back to the payment processor. For example, the authorization request may be encrypted and the device 602 may need to communicate with the device 102 to decrypt it. The communications with the device 102 may be near-field and/or far-field communications. In step 626, the device 102 may receive the authorization request. In step 628, the device 102 may prompt a user for authorization of the payment. In step 630, if the user does not authorize the payment (e.g., does not enter a PIN or enters a wrong PIN), then, in step 634, the payment may be declined. If the user does authorize the payment (e.g., by entering the correct PIN in an allotted amount of time), then in step 632 the device 102 may transmit an authorization message back to the access point 610. In step 634, upon receiving the authorization message, the payment processor may accept the payment and send a receipt and/or confirmation code to the computing device 602 and/or the device 102. FIG. 7A depicts exemplary communications with a network of a retail outlet, in accordance with an embodiment of the invention. Shown in FIG. 7 a retail outlet 712 comprising a terminal 702 and an access point 710 which are connected to a network 708 of the retail outlet. Each of the terminal 702 and the access point 710 may comprise a near-field radio and/or a far-field radio. The network 708 may comprise a local area network and may be connected to a wide area network (e.g., the Internet). Depicted in FIG. 7A is the device 102 moving from location 702 to location 704 to location 706. Upon passing through location 706, the terminal 702 may wake up the device 102, and the terminal 702 and device 102 may communicate to register the device 102 with the network 708. The terminal 702 may be located, for example, near an entrance of the retail outlet 712. The communication between the device 102 and the terminal 702 may be far-field and/or near-field. In an exemplary embodiment of the invention in which the device 102 and terminal 702 communicate utilizing far-field communications, the device 102 may prompt its user whether he wants to register with the network. In an exemplary embodiment of the invention in which the device 102 and terminal 702 communicate utilizing near-field communications, a user entering the retail outlet 712 may swipe the device 102 past the terminal 702 if and when he wants to register the device 702 with the network 708. While the device 102 is inside the retail outlet 712 (e.g., at location 706), the device 102 may receive messages from, and transmit messages to, the network 708 via the access point 710. Messages transmitted from the network 708 to the device 102 may comprise, for example, short-term deals, coupons, and/or other advertisements/offers. A user may respond to these ads and/or offers by, for example, accepting them, declining them, and/or opting to not receive further ads/offers, etc. Messages transmitted from the network 708 to the device 102 may comprise, for example, an alert that an item or service is now ready. For example, the device 102 may be alerted when a table or customer service representative is available in the retail outlet 712. In an exemplary embodiment of the invention, the device 102 may transmit information about items which the user of the device 102 has interest in (e.g., wants to purchase or wants further information about). Such items may be selected by scanning them with a radio (e.g., near-field radio) and/or reader (e.g., a camera operating as a bar code reader) of the device 102 and/or bumping the device against them. As an example, the list of scanned or bumped items may be placed in a shopping list of items which may be automatically paid for when the device 102 passes the terminal 702 upon exiting the retail outlet 712. As another example, the items may be stored in the user's profile and used to send him coupons or other advertisements (e.g., via email and/or via the access point 710). FIG. 7B depicts exemplary communications with a network of a retail outlet, in accordance with an embodiment of the invention. Shown in FIG. 7B is a retail outlet 730 comprising a far-field radio 726 and a near-field radio 728. The far-field radio 726 may be similar to or the same as the far-field radio 506 described with respect to FIG. 5A. The near-field radio 728 may be similar to or the same as the near-field radio 510 described with respect to FIG. 5A. In an exemplary transaction, as the device 102 is approaching the retail outlet 730, and comes into range of the far-field radio 726, (e.g., when it reaches location 722), the device 102 may transmit a communication to the retail outlet 730. For example, the device 102 may place a lunch order. In instances that the far-field radio 726 and the device 102 use a wireless technology that covers a long distance (e.g., DASH7), the order may be placed well in advance of the customer (the user of the device 102) actually arriving at the retail outlet 730. Upon the device 102 arriving at the retail outlet 730, the device 102 may communicate with the near-field radio 728 to confirm pickup of the lunch and to submit payment. In an exemplary embodiment of the invention, a point-of-sale (POS) purchase may be executed by an ISO 18000-7 (“DASH7”) device acting as a credit or debit card. For example, a user carries a copy of “Moby Dick” to a checkout counter of “Bookstore”. The salesperson scans (or otherwise register) the book into the point of sale terminal and a price of $10.00 (for example) appears on the point of sale terminal visual display. When prompted for payment, the user indicates that he would like to pay using his DASH7-enabled phone. The point of sale terminal then sends a “wakeup” message. The purpose of the wakeup message is two-fold: (1) to alert the nearby DASH7 device that a point-of-sale terminal is seeking to conduct a payment transaction with it; and (2) with the limited range of the wakeup signal (see below), to isolate only one DASH7 device with the desired point-of-sale terminal, rather than to “wake” many DASH7 devices, say, in the entire bookstore. The user then receives a message, via the DASH7 wireless link, on his phone asking if he is ready to pay $10 to “Bookstore” for “Moby Dick.” The user presses the “yes” button on his phone and a “yes” message is transmitted via the DASH7 network back to the DASH7-enabled point of sale terminal. The point of sale terminal then asks for authentication from the user. The user is prompted on his phone to enter a PIN number. The PIN is transmitted (securely) via the DASH7 network to the point of sale terminal. The point of sale terminal does a database lookup to see if the entered PIN is accurate. If accurate, the point-of-sale terminal sends a message to the user's phone “Your payment has been accepted.” The point-of-sale terminal also sends a message to the user “Would you like a receipt” (again using the DASH7 network). The user clicks “yes” and the reply is sent back to the point-of-sale terminal. The point-of-sale terminal generates a receipt electronically which is sent back to the user's handheld terminal. The payment transaction is concluded. Various exemplary methods for “waking” and “isolating” the “right” device are as follows: (1) Using the “wake on” radio of the DASH7 protocol. In this case, the point-of-sale terminal could send out a very weak signal using the 433 MHz DASH7 air protocol which would awaken a DASH7 device. (2) Transmitting a low frequency (e.g., 125 kHz) wakeup signal with unique item identifier; (3) Transmitting a UHF passive RFID signal (the device may be swiped or placed on (or very-near) the payment terminal) where the device 102 comprises a radio that operates in the UHF band; (4) Transmitting a 13.56 MHz HF RFID signal (the device may be swiped or placed on (or very-near) the payment terminal) where the device comprises a radio that operates in the HF band; (5) “tapping” or “bumping” the device against the terminal in order to create a) a timestamp of the moment of the “tap” and b) an accelerometer (inside the phone) reading that is matched with the timestamp. By matching the timestamps and accelerometer readings of the device and the terminal either a) using a DASH7-based peer-to-peer message regime or b) using cellular or other wide area backhaul to confirm that the device and the terminal are in fact supposed to be exchanging payment info. In an exemplary embodiment of the invention, a user is browsing Bookstore.com using one of a smartphone, a slate, a tablet, a notebook, a laptop, an e-book reader, or a desktop. The user browses to “Moby Dick” and decide to buy it. The user adds the book to his shopping cart. Upon moving to the shopping cart “page” or screen, the user is prompted for account or payment information. The user selects his payment method (e.g., credit card or electronic check) and enters the payment information (e.g., name, account number, etc.). The account or payment information is then transmitted from the device via a DASH7 network (i.e., out of band with the Internet connection to Bookstore.com). The information may be encrypted. Alternatively, the payment information is sent over the same internet connection via which the site was accessed and then Bookstore.com sends an out-of-band message, via a DASH7 link, requesting authorization. In an exemplary embodiment of the invention, a user may complete an entire transaction on a DASH7-enabled, powered smartcard which has a display and one or more user controls (e.g., “blister” buttons or other input). The user could purchase goods or services which appear on the display through a series of menu options. For example: the display may show “50” LCD HDTV model #7777” (scroll to next line) “Now available for $1,999” (scroll to next line) “via electronicstore.com” (scroll to next line) “purchase? Y/N” (user presses “Y”) “input passcode” (passcode is input using blister buttons) “passcode OK” (scroll to next line) “confirm purchase of” (scroll to next line) “50” LCD HDTV Model #7777″ (scroll to next line) “Total $2,176.32” (scroll to next line) “Press ‘Y’ to confirm” (User presses ‘Y’) “purchase confirmed.” In an exemplary embodiment of the invention, acceptance of payment may be contingent on a wireless device being within a determined distance of the place where the payment is being attempted. For example, a user walks into Bookstore and selects a copy of Moby Dick from the shelf. Upon reaching the checkout counter, the user presents his credit card. As part of its anti-fraud measures, the credit card company wants to confirm that the user is in fact the account-holder. Accordingly, the credit card company, via the point-of-sale terminal, “pings” (e.g., via a DASH7 link) the account holder's phone. The user's phone is in-range and replies to the ping, thus verifying that the user of the credit card is the account holder (or at least has the account holder's phone). Another potential anti-fraud measure is to use a network (e.g., a DASH7 network connecting to the Internet) to update one or more location based services (LBS) like Foursquare or Gowalla, which allow a user to post his or her location to a social networking website or service. An alternative use of these LBS networks is to enable anti-fraud measures. For example, using the DASH network, a user has his Foursquare account automatically “updated” (with or without his intervention) to say “At Bookstore.” The people at the credit card company are able to query John's Foursquare location coordinates—via a Foursquare API—to confirm his presence at the bookstore. In an exemplary embodiment of the invention a second wireless device (e.g., a powered smartcard) may be paired with a smartphone for authentication and payment purposes. The use of a second wireless device in conjunction with a user's smartphone (or other computing device) can enable an additional layer of authentication. For example, when using a DASH7-enabled, powered smartcard for executing payments transactions, the smartcard can also, via a DASH7 wireless link, “pair” with the user's smartphone directly and, in the event the smartphone is not present for pairing, a central authentication and clearing authority like Visa or a bank can choose to decline the transaction, similar to the anti-fraud application mentioned previously. A device, such as the device 102, can also be used to assist service businesses to anticipate customer orders before they occur in order to speed processing of anticipated orders. For example, a customer walking down the street decides to stop at his favorite coffee shop to get a latte and a maple oat nut scone. (. Note: this could be extended to a range of intervals before entering the store, e.g., leaving his home, getting into his car, getting on a bus, getting off a bus, entering an area 100 yards from a coffee shop, etc.) As the customer approaches the front door, a wakeup terminal sends a signal to the customer's wireless device (e.g., a smartcard 102 issued by the coffee shop as a “loyalty card”). The customer's wireless device then sends a message (e.g., a 433 MHz UHF DASH7 message) to a reader installed on the premises of the coffee shop. The reader sends a message (via Ethernet, TCP/IP, or any other suitable transport) to a local or centrally-hosted customer relationship management (CRM) application and database that identifies the customer at the front door as John Smith. The system knows details about his past purchasing behavior including his weekday preference for a tall, non-fat latte and a maple oat nut scone. The system sends a message immediately to a barista to begin preparing the non-fat latte. As John walks into the store, another wakeup device stationed on the ceiling or elsewhere nearby provides further confirmation that John has in fact walked into the store and is approaching the point-of-sale counter. This update is also sent to the CRM application and then relayed to the barista, who gets a “confirmation” message that John is actually coming to the point-of-sale counter and that latte preparation should continue apace. The barista or the cashier confirms John's order by greeting him with “Hello John. We have a tall non-fat latte being prepared for you and here is your maple oat nut scone. Will that be all?” John smiles and says “that will be all.” Cashier tells John “That will be $5.15.” John pays and leaves. In an embodiment of the invention, the transaction may be completed without a cashier and John may be enabled to pick up his drink with automatic payment triggered by a particular event. The trigger event may be, for example: (1) John waving his NFC-enabled smartcard at the point-of-sale terminal; (2) John uses a wakeup mechanism very close to the pickup counter that sends a message from his wireless device to the network saying it's really him and she's going to take her beverage now; (3) a motion sensor on the counter could detect when someone who has not paid takes a drink off the counter but sense John's wireless device (e.g., via a DASH7 link) is there and disables the alarm. In an exemplary embodiment of the invention, a retail outlet may tag all of its goods with RFIDs and a user's wireless device may scan each item that the user wants to purchase. The user may then walk out the door with a shopping cart full of goods and the wireless device may transmit payment as the user exits the store. For example, the goods may be scanned with a near-field radio of the wireless device and the purchase may be completed with a far-field radio of the wireless device. In an embodiment of the invention, a user can message ahead with his DASH7-enabled device saying that he wants his order ready to go at a specific time. The long range of DASH7 communications devices means John could potentially order from kilometers away, depending on network coverage. In an embodiment of the invention, a user of a wireless device may “check in” to products and services uniquely via a DASH7 network. Using the above example of coffee shop again, as John picks up his cup of coffee from the counter, he can automatically check-in to that cup of coffee through the use of a wakeup device at the coffee counter. For example, the wireless device may automatically create a message on the users Foursquare app interface such as “picking up my latte at coffee shop” or other pre-canned or customized message. In an exemplary embodiment of the invention, a wireless network (e.g., a DASH7 network) may be utilized to send passcodes and other information to a wireless device (e.g. a DASH7-enabled, powered smartcard with a display). The passcode may be used in combination with a credit or debit card in e-commerce or point-of-sale transactions, it may be desirable to transmit one or more of the following via the wireless network: one-time passwords; card balances; currency conversions; loyalty points; transaction log; coupons or promotional codes to be used at the point-of-sale; location of nearest bank branch. Rather than having the passcodes generated automatically within the smartcard itself, the codes could be transmitted wirelessly via the wireless network (e.g., DASH7 network). In place of a numeric passcode, an alphanumeric message can be displayed, via a message from the wireless network, on the smartcard display for use in authentication or for sending messages to the end user. Exemplary messages include: customer retention messages; offers; announcements from the bank; advertisements; coupons; discount codes; cross-promotion of a complementary brand or product; emergency messages; notification of overdraft; notification of a transaction on your account from elsewhere (e.g. auto bill pay, use of another card linked to that account). One of the weaknesses of location-based services like Foursquare is the ability to “spoof” the system with “phantom” check-ins. However, some users may enjoy the tactile or emotional feedback from checking in consciously, rather than passively as is possible with a DASH7 network. Accordingly, in an exemplary embodiment of the invention, a smartcard may comprise actual “check in” button on the face of a smartcard, whereby users who wish to can simply push this button and trigger a message to the DASH7 network in the location. One exemplary use case for this is where users want to “cloak” their device or otherwise remain anonymous when going into a retail or other location. Users who no longer want to be anonymous, can simply “check in” or “turn on” their DASH7-enabled smartcard with a push of this button. Upon pushing the button, a DASH7 message is created within the smartcard and transmitted, via the DASH7 network, to a cloud or other hosted service like Foursquare. In an exemplary embodiment of the invention, a smartcard may have a fingerprint reader and/or other biometric reading sensor and the sensor may be used for authentication. For example, a user may place his or her thumb on the biometric reader, which triggers a message via the DASH7 network, with the encrypted information about the fingerprint sent to a central application/database. Other embodiments of the invention may provide a non-transitory computer readable medium and/or storage medium, and/or a non-transitory machine readable medium and/or storage medium, having stored thereon, a machine code and/or a computer program having at least one code section executable by a machine and/or a computer, thereby causing the machine and/or computer to perform the steps as described herein for electronic payments and authentication. Accordingly, the present invention may be realized in hardware, software, or a combination of hardware and software. The present invention may be realized in a centralized fashion in at least one computing system, or in a distributed fashion where different elements are spread across several interconnected computing systems. Any kind of computing system or other apparatus adapted for carrying out the methods described herein is suited. A typical combination of hardware and software may be a general-purpose computing system with a program or other code that, when being loaded and executed, controls the computing system such that it carries out the methods described herein. Another typical implementation may comprise an application specific integrated circuit or chip. The present invention may also be embedded in a computer program product, which comprises all the features enabling the implementation of the methods described herein, and which when loaded in a computer system is able to carry out these methods. Computer program in the present context means any expression, in any language, code or notation, of a set of instructions intended to cause a system having an information processing capability to perform a particular function either directly or after either or both of the following: a) conversion to another language, code or notation; b) reproduction in a different material form. While the present invention has been described with reference to certain embodiments, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted without departing from the scope of the present invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the present invention without departing from its scope. Therefore, it is intended that the present invention not be limited to the particular embodiment disclosed, but that the present invention will include all embodiments falling within the scope of the appended claims.",G06Q20322,G06Q2032,20160115,,20160707,67777.0 47,14996922,ACCEPTED,ALL-COMPOSITE TORQUE TUBE WITH METAL EYELETS,"A low-cost method of manufacturing an all-composite composite torque tube includes winding fiber tow around a cylindrical mandrel and a plurality of eyelet forms held by an end fixture on the mandrel, whereby a flanged end coupling is formed. The method may include the use of a pre-woven composite fiber end sleeve configured to define the flanged end coupling. The wound fiber, and the end sleeve, are cured together in a single step. Metal eyelets may be installed in the bolt holes.","1. A method of manufacturing a composite torque tube, the method comprising the steps of: providing a cylindrical mandrel; positioning an end fixture on the mandrel, the end fixture including a backing surface radially enlarged relative to an outer surface of the mandrel and a plurality of openings through the backing surface; inserting a plurality of eyelet forms respectively into the plurality of openings of the end fixture; winding a fiber tow around the mandrel and the plurality of eyelet forms; infusing the wound fiber tow with a resin matrix, or using fiber tow pre-impregnated with a resin matrix in a B cure stage as the fiber tow for the winding step; curing the wound fiber tow to a C cure stage, thereby yielding a composite tube including an integral end flange having a plurality of bolt holes; and removing the eyelet forms, the end fixture, and the mandrel from the composite tube. 2. The method according to claim 1, further comprising the steps of: providing a woven end sleeve including an axially extending stem, a radially enlarged flange layer at a distal end of the stem, a passage through the flange layer and the stem for receiving an end portion of the mandrel, and a plurality of bolt holes through the flange layer; placing the end sleeve on the mandrel such that the flange layer of the end sleeve is in abutment against the backing surface of the end fixture, wherein the plurality of eyelet forms are inserted respectively through the plurality of bolt holes of the end sleeve and into the plurality of openings of the end fixture; and infusing the end sleeve with a resin matrix, or using fiber tow pre-impregnated with a resin matrix in a B cure stage to weave the end sleeve; wherein the winding step includes winding the fiber tow around the stem of the end sleeve; wherein the curing step includes curing the end sleeve to a C cure stage along with the wound fiber tow. 3. The method according to claim 1, further comprising the step of installing a plurality of metal eyelets respectively in the plurality of bolt holes of the integral end flange. 4. The method according to claim 2, further comprising the step of installing a plurality of metal eyelets respectively in the plurality of bolt holes of the integral end flange. 5. The method according to claim 1, wherein the step of winding the fiber tow includes, in ordered sequence: helically winding the fiber tow around the mandrel and the stem of the sleeve in an axial direction toward the flange layer; winding the fiber tow partially around a first one of the eyelet forms; winding the fiber tow partially around a second one of the eyelet forms and back to the stem of the sleeve such that the fiber tow leaving the second eyelet form crosses the fiber tow approaching the second eyelet form when viewed in the axial direction toward the flange layer; and helically winding the fiber tow around the stem and the mandrel in an axial direction away from the flange layer. 6. The method according to claim 5, wherein the fiber tow has an outer tangential approach to the first one of the eyelet forms and an inner tangential approach to the second one of the eyelet forms. 7. A composite torque tube manufactured by the method of claim 1. 8. A method of manufacturing a composite torque tube, the method comprising the steps of: providing a cylindrical mandrel; providing a woven end sleeve including an axially extending stem, a radially enlarged flange at a distal end of the stem, a passage through the flange and the stem for receiving an end portion of the mandrel, and a plurality of bolt holes through the flange; placing the end sleeve on a mandrel; winding a fiber tow around the mandrel and the stem of the end sleeve; infusing the wound fiber tow and the end sleeve with a resin matrix, or using fiber tow pre-impregnated with a resin matrix in a B cure stage as the fiber tow for the winding step and to weave the end sleeve; curing the fiber tow and the end sleeve to a C cure stage, thereby yielding a composite tube including an integral end flange having a plurality of bolt holes; and removing the mandrel. 9. The method according to claim 8, further comprising the step of positioning a plurality of metal eyelets respectively in the plurality of bolt holes of the end sleeve prior to the step of curing. 10. The method according to claim 8, wherein the step of winding the fiber tow includes, in ordered sequence: helically winding the fiber tow around the mandrel and the stem of the end sleeve in an axial direction toward the flange of the end sleeve; and helically winding the fiber tow around the stem of the end sleeve and the mandrel in an axial direction away from the flange of the end sleeve. 11. A composite torque tube manufactured by the method of claim 8."," BACKGROUND OF THE INVENTION A torque tube transmits static and dynamic torsional loads in power transmission applications. For example, torque tubes are commonly used in aircraft to provide power transmission for actuating and braking flight control surfaces, e.g. flaps and slats, and for actuating aircraft doors and door latches. Each end of a torque tube may be provided with a coupling feature configured for mechanically coupling the torque tube to another transmission mechanism in the drive line. For example, one or both ends of a torque tube may include a flange having an array or pattern of bolt holes used for coupling. So-called “composite” torque tubes made by helically winding or wrapping carbon fiber tow around a cylindrical mandrel are widely used in the aircraft industry in part because they are lighter than metal torque tubes yet still meet critical strength requirements. Composite torque tubes also offer improved flexural rigidity and torsional rigidity. However, because the coupling features at the ends of the torque tube differ from and are more complex than the straight length of the torque tube between the ends, the coupling features are not formed of wrapped composite material. Instead, it is known to manufacture a metallic end fitting that includes the coupling feature, and form the torque tube as a hybrid assembly having a pair of metal end fittings connected by a straight tube of wrapped composite material. In this regard, see U.S. Pat. Nos. 7,335,108 (Line al.); 7,419,435 (Borges et al.); 7,682,256 (Brace et al.); 7,874,925 (Dewhirst); and 8,025,580 (Genot et al.). The metal end fittings add weight, and it is a challenge to ensure that the junction between the composite material and each metal end fitting is reliable and not prone to failure under loading. U.S. Pat. No. 3,651,661 (Darrow), teaches making a composite torque tube having typical bolt-hole flanges by laying alternating plies of composite fabric on a cylindrical mandrel. The flanges are made by folding out fingers or strips provided in the fabric plies. This patent does not teach winding fiber tow. U.S. Pat. No. 5,397,272 (Smiley et al.) describes an all-composite torque tube that teaches wrapping fiber around an arbor and integrally forming two yoke lugs of a universal joint at an end of the tube. The lugs extend parallel to one another in an axial direction of the torque tube and include respective holes aligned with one another along a diametric axis for receiving a coupling member of the universal joint. Outside the torque tube art, U.S. Pat. No. 8,118,958 (Lunin et al.) teaches a method for manufacturing an output arm for a rotary actuator by winding a composite fiber filament about a pair of metal eyelets and a metal gear insert. The eyelets and gear insert are supported on a fixture during winding. The wound filament is then infused with resin and the resin is cured. The fixture is removed to yield a finished actuator arm. A need remains for an all-composite torque tube having flanges with bolt holes at its opposite ends, wherein the torque tube is economical to manufacture and does not require metal end fittings."," SUMMARY OF THE INVENTION The present invention provides a method for manufacturing a lightweight, all-composite torque tube at low-cost that involves a single structural curing step. The resulting torque tube has no torque-transmitting joints between composite material and metal, and is highly reliable. In an embodiment of the invention, the manufacturing method comprises providing a cylindrical mandrel and positioning an end fixture on the mandrel, wherein the end fixture includes a backing surface radially enlarged relative to an outer surface of the mandrel and a plurality of openings through the backing surface. The method further comprises inserting a plurality of eyelet forms respectively into the plurality of openings of the end fixture, and winding a fiber tow around the mandrel and the plurality of eyelet forms. If dry fiber tow is used rather than pre-impregnated fiber tow, then the wound fiber tow is infused with a resin matrix. The method continues by curing the wound fiber tow to a C cure stage, thereby yielding a composite tube including an integral end flange having a plurality of bolt holes. The method may be completed by removing the eyelet forms, the end fixture, and the mandrel from the composite tube. Optionally, metal eyelets may be installed to reinforce the bolt holes of the flange. A variation of the basic method described above includes providing a woven end sleeve including an axially extending stem, a radially enlarged flange layer at a distal end of the stem, a passage through the flange layer and the stem for receiving an end portion of the mandrel, and a plurality of bolt holes through the flange layer. The end sleeve is placed on the mandrel such that the flange layer of the end sleeve is in abutment against the backing surface of the end fixture, and the plurality of eyelet forms are inserted respectively through the plurality of bolt holes of the end sleeve and into the plurality of openings of the end fixture. The end sleeve may be woven of pre-impregnated fiber tow, or woven of dry fiber tow and subsequently infused with resin. The winding step of the basic method is modified to include winding the fiber tow around the stem of the end sleeve. The end sleeve and the wound fiber tow are cured together in a single curing step. In a further variation of the method, the end sleeve is woven such that it fully forms a flanged end coupling of the torque tube, and a straight tube portion of the torque tube is formed by winding fiber tow around the cylindrical mandrel and part of the stem of the end sleeve. The wound fiber tow and end sleeve are cured together in a single curing step.","FIELD OF THE INVENTION The present invention relates generally to torque tubes (sometimes referred to as torque shafts) used on an aircraft utility or flight control drive line, or on any drive line that requires a torque tube for transmitting torque. BACKGROUND OF THE INVENTION A torque tube transmits static and dynamic torsional loads in power transmission applications. For example, torque tubes are commonly used in aircraft to provide power transmission for actuating and braking flight control surfaces, e.g. flaps and slats, and for actuating aircraft doors and door latches. Each end of a torque tube may be provided with a coupling feature configured for mechanically coupling the torque tube to another transmission mechanism in the drive line. For example, one or both ends of a torque tube may include a flange having an array or pattern of bolt holes used for coupling. So-called “composite” torque tubes made by helically winding or wrapping carbon fiber tow around a cylindrical mandrel are widely used in the aircraft industry in part because they are lighter than metal torque tubes yet still meet critical strength requirements. Composite torque tubes also offer improved flexural rigidity and torsional rigidity. However, because the coupling features at the ends of the torque tube differ from and are more complex than the straight length of the torque tube between the ends, the coupling features are not formed of wrapped composite material. Instead, it is known to manufacture a metallic end fitting that includes the coupling feature, and form the torque tube as a hybrid assembly having a pair of metal end fittings connected by a straight tube of wrapped composite material. In this regard, see U.S. Pat. Nos. 7,335,108 (Line al.); 7,419,435 (Borges et al.); 7,682,256 (Brace et al.); 7,874,925 (Dewhirst); and 8,025,580 (Genot et al.). The metal end fittings add weight, and it is a challenge to ensure that the junction between the composite material and each metal end fitting is reliable and not prone to failure under loading. U.S. Pat. No. 3,651,661 (Darrow), teaches making a composite torque tube having typical bolt-hole flanges by laying alternating plies of composite fabric on a cylindrical mandrel. The flanges are made by folding out fingers or strips provided in the fabric plies. This patent does not teach winding fiber tow. U.S. Pat. No. 5,397,272 (Smiley et al.) describes an all-composite torque tube that teaches wrapping fiber around an arbor and integrally forming two yoke lugs of a universal joint at an end of the tube. The lugs extend parallel to one another in an axial direction of the torque tube and include respective holes aligned with one another along a diametric axis for receiving a coupling member of the universal joint. Outside the torque tube art, U.S. Pat. No. 8,118,958 (Lunin et al.) teaches a method for manufacturing an output arm for a rotary actuator by winding a composite fiber filament about a pair of metal eyelets and a metal gear insert. The eyelets and gear insert are supported on a fixture during winding. The wound filament is then infused with resin and the resin is cured. The fixture is removed to yield a finished actuator arm. A need remains for an all-composite torque tube having flanges with bolt holes at its opposite ends, wherein the torque tube is economical to manufacture and does not require metal end fittings. SUMMARY OF THE INVENTION The present invention provides a method for manufacturing a lightweight, all-composite torque tube at low-cost that involves a single structural curing step. The resulting torque tube has no torque-transmitting joints between composite material and metal, and is highly reliable. In an embodiment of the invention, the manufacturing method comprises providing a cylindrical mandrel and positioning an end fixture on the mandrel, wherein the end fixture includes a backing surface radially enlarged relative to an outer surface of the mandrel and a plurality of openings through the backing surface. The method further comprises inserting a plurality of eyelet forms respectively into the plurality of openings of the end fixture, and winding a fiber tow around the mandrel and the plurality of eyelet forms. If dry fiber tow is used rather than pre-impregnated fiber tow, then the wound fiber tow is infused with a resin matrix. The method continues by curing the wound fiber tow to a C cure stage, thereby yielding a composite tube including an integral end flange having a plurality of bolt holes. The method may be completed by removing the eyelet forms, the end fixture, and the mandrel from the composite tube. Optionally, metal eyelets may be installed to reinforce the bolt holes of the flange. A variation of the basic method described above includes providing a woven end sleeve including an axially extending stem, a radially enlarged flange layer at a distal end of the stem, a passage through the flange layer and the stem for receiving an end portion of the mandrel, and a plurality of bolt holes through the flange layer. The end sleeve is placed on the mandrel such that the flange layer of the end sleeve is in abutment against the backing surface of the end fixture, and the plurality of eyelet forms are inserted respectively through the plurality of bolt holes of the end sleeve and into the plurality of openings of the end fixture. The end sleeve may be woven of pre-impregnated fiber tow, or woven of dry fiber tow and subsequently infused with resin. The winding step of the basic method is modified to include winding the fiber tow around the stem of the end sleeve. The end sleeve and the wound fiber tow are cured together in a single curing step. In a further variation of the method, the end sleeve is woven such that it fully forms a flanged end coupling of the torque tube, and a straight tube portion of the torque tube is formed by winding fiber tow around the cylindrical mandrel and part of the stem of the end sleeve. The wound fiber tow and end sleeve are cured together in a single curing step. BRIEF DESCRIPTION OF THE DRAWINGS The nature and mode of operation of the present invention will now be more fully described in the following detailed description of the invention taken with the accompanying drawing figures, in which: FIG. 1 is an orthogonal view of a composite torque tube generally embodying the present invention; FIG. 2 is a longitudinal cross-sectional view showing an end portion of a composite torque tube formed in accordance with a first embodiment of the present invention; FIG. 3 is a longitudinal cross-sectional view illustrating a manufacturing setup for making the composite torque tube shown in FIG. 2; FIG. 4 is a view of one end of the manufacturing setup shown in FIG. 3, illustrating a helical winding step of a method for manufacturing the composite torque tube shown in FIG. 2; FIG. 5A is a view looking axially toward an end fixture of the manufacturing setup, illustrating a first eyelet winding step of the manufacturing method; FIG. 5B is a view similar to that of FIG. 5A, illustrating a second eyelet winding step of the manufacturing method; FIG. 5C is a view similar to that of FIG. 5A, illustrating a third eyelet winding step of the manufacturing method; FIG. 5D is a view similar to that of FIG. 5A, illustrating a fourth eyelet winding step of the manufacturing method; FIG. 6 is a view similar to that of FIG. 4, illustrating a reverse helical winding step of the manufacturing method; FIG. 7 is a flow diagram illustrating a method of making the composite torque tube shown in FIG. 1; FIG. 8 is a longitudinal cross-sectional view showing an end portion of a composite torque tube formed in accordance with a second embodiment of the present invention; FIG. 9 is a flow diagram illustrating a method of making the composite torque tube shown in FIG. 8; FIG. 10 is a longitudinal cross-sectional view showing an end portion of a composite torque tube formed in accordance with a third embodiment of the present invention; and FIG. 11 is a flow diagram illustrating a method of making the composite torque tube shown in FIG. 10. DETAILED DESCRIPTION OF THE INVENTION FIG. 1 depicts a composite torque tube 10 formed by a method of the present invention. Composite torque tube 10 generally comprises a pair of end couplings 14 connected by an intermediate tube portion 12. Reference character A denotes a longitudinal axis of torque tube 10. Each end coupling 14 includes a flange 16 that extends radially outward relative to an outer cylindrical surface of tube portion 12, and a plurality of bolt holes 18 through flange 16 for use in attaching end coupling 14 to another part of a transmission drive system. In the embodiments described herein, each end coupling 14 has four bolt holes 18, however more or fewer bolt holes may be provided depending upon the particular end use application and design requirements. A two-piece metal eyelet 20 may optionally be arranged in each bolt hole 18 to reinforce and protect the inner surface of the bolt hole from the bolt's clamping force. Torque tube 10 is completely formed of fiber-reinforced composite material, with the exception of optional metal eyelets 20. FIG. 2 shows one end portion of composite torque tube 10 in greater detail to illustrate a first embodiment of the present invention. As will be described below, composite torque tube 10 of the first embodiment is formed using a pre-woven end sleeve 22 at each end, and a fiber tow winding 30 merging with each end sleeve 22. The constituent fibers of end sleeves 22 and fiber tow winding 30 reside in a thermosetting resin matrix that cures fully at an elevated temperature by undergoing an irreversible chemical reaction in which cross-linking of the resin molecules converts the resin to a stable solid (the C stage), whereby curing integrates the end sleeves 22 and fiber tow winding 30 into a solid structural composite material. The fibers used to weave end sleeves 22, and the fibers in fiber tow winding 30, may be pre-impregnated with the thermosetting resin matrix that is partially cured to a B stage of curing to provide a composite fiber material that is thickened and somewhat tacky in comparison to uncured “wet” layup material. As an alternative to using “prepreg” fiber material, dry fiber tow may be used to form end sleeves 22 and winding 30, and the constituent fibers may be vacuum infused after weaving and winding with a thermosetting resin matrix. The fibers may include, for example, carbon fibers, glass fibers, aramid fibers, boron fibers, and/or ceramic fibers. Each end sleeve 22 is configured to include an axially extending stem 24, a radially enlarged flange layer 26 at a distal end of the stem, a passage 27 through the flange layer 26 and the stem 24 for receiving an end portion of a mandrel M (see FIG. 3), and a plurality of bolt holes 28 through flange layer 26. A method of manufacturing torque tube 10 in accordance with the first embodiment will now be described with reference to the flow diagram of FIG. 7 and with additional reference to FIGS. 3-6. In an initial step 50, at least one pre-woven end sleeve 22 is provided. In step 54, end sleeve 22 is placed on a cylindrical mandrel M. Next, in accordance with step 58, an end fixture F is positioned on mandrel M in abutment against flange layer 26 of end sleeve 22. End fixture F includes a plurality of openings FO respectively aligned with the plurality of bolt holes 28 of end sleeve 22. Manufacturing setup also includes step 62 of inserting a plurality of eyelet forms E respectively through the plurality of bolt holes 28 of end sleeve 22 and into the plurality of openings FO in end fixture F. This arrangement may be seen, for example, in FIG. 3. The method continues at step 66 with winding fiber tow 30 around mandrel M, the stem 24 of the end sleeve 22, and the plurality of eyelet forms E. Winding step 66 is illustrated by FIGS. 4-6. Fiber tow 30 is helically wound around mandrel M so as to approach end sleeve 22 in an axial direction, as shown in FIG. 4. The helical winding continues until fiber tow 30 is wound around stem 24 and reaches flange layer 26. Then, fiber tow 30 is wound around two of the plurality of eyelet forms E as illustrated in FIG. 5A. More specifically, fiber tow 30 departs from stem 24 and is wound partially around a first one of the eyelet forms E1. As may be seen, fiber tow 30 may have an outer tangential approach to the first eyelet form E1. Fiber tow 30 departs from first eyelet form E1, is wound partially around a second one of the eyelet forms E2 and proceeds back to stem 24 of end sleeve 22. As shown in FIG. 5A, fiber tow 30 may have an inner tangential approach to second eyelet form E2, and the fiber tow leaving second eyelet form E2 may cross the fiber tow approaching second eyelet form E2 when viewed in the axial direction toward flange layer 26. Winding step 66 continues by helically winding fiber tow 30 around stem 24 and mandrel M in an axial direction away from flange layer 26. As may be understood, another end sleeve 22 may be provided on mandrel M at a location corresponding to an opposite end of torque tube 10, and the winding pattern described above may be repeated in mirror-image fashion as fiber tow 30 approaches the opposing end sleeve. Helical winding continues back toward the first end sleeve 22. Once fiber tow 30 reaches flange layer 26, the eyelet winding pattern described above for first and second eyelet forms E1 and E2 is repeated for second eyelet form E2 and a third eyelet form E3 as illustrated in FIG. 5B. Fiber tow 30 departs from stem 24 and is wound partially around second eyelet form E2. Fiber tow 30 may have an outer tangential approach to second eyelet form E2. Fiber tow 30 departs from second eyelet form E2, is wound partially around third eyelet form E3, and proceeds back to stem 24 of end sleeve 22. As shown in FIG. 5B, fiber tow 30 may have an inner tangential approach to third eyelet form E3, and the fiber tow leaving third eyelet form E3 may cross the fiber tow approaching third eyelet form E3 when viewed in the axial direction toward flange layer 26. Helical winding then continues axially along stem 24 and mandrel M away from depicted end sleeve 22, and a mirror image winding pattern may be formed at the opposite end before fiber tow 30 is again helically wound around mandrel M back toward the depicted end sleeve 22. Once fiber tow 30 returns to flange layer 26, the eyelet winding pattern described above is repeated for third eyelet form E3 and a fourth eyelet form E4 as illustrated in FIG. 5C. Fiber tow 30 departs from stem 24 and is wound partially around third eyelet form E3. Fiber tow 30 may have an outer tangential approach to third eyelet form E3. Fiber tow 30 departs from third eyelet form E3 and is wound partially around fourth eyelet form E4 before returning to stem 24 of end sleeve 22. Fiber tow 30 may have an inner tangential approach to fourth eyelet form E4, and the fiber tow leaving fourth eyelet form E4 may cross the fiber tow approaching fourth eyelet form E4 when viewed in the axial direction toward flange layer 26. Fiber tow 30 is helically wound toward the opposite end, where the eyelet form winding pattern may be repeated in mirror image fashion, and is then helically wound back toward the depicted end sleeve 22. Once fiber tow 30 returns to flange layer 26, the eyelet winding pattern described above is repeated for fourth eyelet form E4 and a first eyelet form E1 as illustrated in FIG. 5D. Fiber tow 30 departs from stem 24 and is wound partially around fourth eyelet form E4. Fiber tow 30 may have an outer tangential approach to fourth eyelet form E4. Fiber tow 30 departs from fourth eyelet form E4 and is wound partially around first eyelet form E1 before returning to stem 24. Fiber tow 30 may have an inner tangential approach to first eyelet form E1, and the fiber tow leaving first eyelet form E1 may cross the fiber tow approaching first eyelet form E1 when viewed in the axial direction toward flange layer 26. As will be understood, the winding pattern described above is continued in repeating fashion until material is built up to form end couplings 14 and tube portion 12. Those skilled in the art will recognize that the helical winding angle of fiber tow 30 may be varied during the winding step to achieve desired strength and flexural characteristics. Winding of the fiber tow may be performed using a conventional machine tool operated to rotate mandrel M and end fixtures F about their common longitudinal axis A in the manner of a lathe while a fiber tow feeder is reciprocated back and forth in the axial direction to feed fiber tow to the rotating assembly to achieve a predetermined winding pattern as the fiber tow is taken up by the rotating assembly. When the fiber tow wrapping step 66 is completed, a fiber-wrapped assembly is formed that includes the mandrel M, end fixtures F including eyelet forms E1 through E4, end sleeves 22, and the wrapped fiber tow 30. Step 70 represents a decision block for branching flow depending upon whether or not prepreg fiber tow is used. If prepreg fiber tow is used, the wound fiber tow 30 and end sleeves 22 already include matrix resin in a partially cured B stage condition. In that case, the manufacturing method proceeds directly to curing step 78. If dry fiber tow is used, a resin matrix must be added to wound fiber tow 30 and woven end sleeves 22 by performing a resin infusion step 74 as known in the art of composite manufacturing, and then flow proceeds to curing step 78. The next step 78 is curing the resin matrix containing the wound fiber tow 30 and woven fiber end sleeves 22 until the resin is fully cured to a C stage condition. Prior to curing, the composite assembly may be shrink-wrapped with a shrink-wrap tape, or vacuum bagged to remove volatiles and trapped air as is known in the art of composite manufacturing. Curing may be performed at an elevated temperature by placing the assembly in an oven. Alternatively, curing may be performed in an autoclave. The curing protocol will depend upon the size and configuration of torque tube 10, and the type of resin and fiber tow used. After the resin and fiber tow material is fully cured, the assembly is removed from the oven or autoclave, and the shrink wrapping or vacuum bag is removed. In step 82, eyelet forms E1 through E4 and end fixtures F are removed, and mandrel M is withdrawn by sliding it axially out of the assembly. The remaining structure comprises an all-composite torque tube 10. The all-composite torque tube may be in finished form without metal eyelets in bolt holes 18. Alternatively, if metal eyelets 20 are desired to protect and reinforce bolt holes 18, eyelets 20 may be installed in an optional step 86. Eyelets 20 may be two-piece metal eyelets positioned through bolt holes 18 and crimped using a crimping tool. Attention is now directed to FIGS. 8 and 9 illustrating a torque tube 110 and manufacturing method in accordance with a second embodiment of the present invention. Torque tube 110 is formed in a manner generally similar to torque tube 10 of the first embodiment, except that pre-woven end sleeves 22 are not used in forming end couplings 14. Instead, the entire composite structure of torque tube 110, including end fittings 14, is formed completely by winding fiber tow 130 directly around mandrel M and around the eyelet forms E held by fixture F. As in the first embodiment, metallic eyelets 20 may be provided to protect bolt holes 18. A method of manufacturing torque tube 110 in accordance with the second embodiment is illustrated by the flow diagram of FIG. 9. In an initial step 150, at least one end fixture F is positioned on mandrel M. Step 154 involves inserting a plurality of eyelet forms E respectively into the plurality of openings FO in end fixture F. Next, in step 158, fiber tow 130 is wound around mandrel M and eyelet forms E. The same winding pattern described above in connection with step 66 of the first embodiment may be used for the second embodiment. If fiber tow 130 is prepreg fiber tow, decision step 162 advances flow to curing step 170. If fiber tow 130 is dry fiber tow, then decision step 162 directs flow to resin infusion step 166 before flow proceeds to curing step 170. After curing is completed, the eyelet forms E, end fixture F and mandrel M are removed in step 174. The remaining structure comprises an all-composite torque tube 110. The all-composite torque tube may be in finished form without metal eyelets 20, or metal eyelets 20 may be installed in optional step 178 to protect and reinforce bolt holes 18. FIGS. 10 and 11 illustrate a torque tube 210 and manufacturing method in accordance with a third embodiment of the present invention. Torque tube 210 is formed in a manner generally similar to torque tube 10 of the first embodiment, except that pre-woven end sleeves 22 are replaced by a modified end sleeve 222 having a stem 224 that grows radially and merges with an axially thickened flange 226 to form end couplings 14. Thus, in torque tube 210, each end coupling 14 is formed completely by pre-woven end sleeve 222, and tube portion 12 is formed by winding a fiber tow 230 around mandrel M and part of stem 224. A method of manufacturing torque tube 210 in accordance with the third embodiment is illustrated by the flow diagram of FIG. 11. In an initial step 250, at least one pre-woven end sleeve 222 is provided. In step 254, end sleeve 222 is placed on a cylindrical mandrel M. Step 258 represents an optional step wherein metal eyelets 20 are installed in bolt holes 228. Next, in step 262, fiber tow 230 is wound around mandrel M and stem 224 of end sleeve 222. For the third embodiment, the winding step 262 is simplified relative to the previous embodiments and involves helical winding back and forth along mandrel M. If fiber tow 230 is prepreg fiber tow, decision step 266 advances flow to curing step 274. If fiber tow 230 is dry fiber tow, then decision step 266 directs flow to resin infusion step 270 before flow proceeds to curing step 274. After curing of end sleeve 222 and wound fiber tow 230 is completed, mandrel M is removed in step 278. The remaining structure comprises an all-composite torque tube 210 having optional metal eyelets 20. While the invention has been described in connection with exemplary embodiments, the detailed description is not intended to limit the scope of the invention to the particular forms set forth. The invention is intended to cover such alternatives, modifications and equivalents of the described embodiment as may be included within the scope of the invention.",B29C7030,B29C7030,20160115,20180410,20170720,64853.0 48,14903511,ACCEPTED,ELECTROLYTE REGENERATION,"This invention is directed to electrolysis-based devices and methods for recycling of electrolyte solutions. Specifically, the invention is related to regeneration of spent electrolyte solutions comprising metal ions such as electrolyte solutions used in metal/air batteries.","1. A method for regenerating spent electrolyte solution, said method comprising: providing a membrane electrolysis cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling said spent electrolyte into said anode compartment to form an anolyte solution; filling alkaline solution into said cathode compartment to form a catholyte solution; passing an electric current through said membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said anolyte solution and increasing the concentration of alkali hydroxide in said catholyte solution. 2. The method of claim 1, wherein said anolyte solution comprises an alkali salt of hydroxide complex anion. 3. The method of claim 2, wherein the hydroxide complex anion has the formula [M(OH)n]−p, wherein M is a multivalent metal cation, n is an integer equal to or greater than 3 and p is 1 or 2. 4. The method of claim 1, wherein following the step of passing current, said catholyte solution is usable as an electrolyte for metal/air battery. 5. The method of claim 1, wherein elemental oxygen evolving at the anode side of said membrane electrolysis cell is supplied to the outer face of said oxygen-consuming cathode. 6. The method of claim 1, wherein said anolyte solution is being supplied from an electrolyte reservoir of a metal/air battery; and wherein the concentration of said catholyte solution increases gradually during said passing current step to form a concentrated alkali hydroxide solution; and wherein at least a portion of the resultant concentrated alkali hydroxide solution is added to an electrolyte of a metal/air battery. 7. A method for regenerating spent electrolyte solution, said method comprising: providing a first and a second membrane electrolysis cells, each cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling said spent electrolyte into said anode compartment of said first cell to form a first anolyte solution; filling alkaline solution into said cathode compartment of said first cell to form a first catholyte solution; passing an electric current through said first membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said first anolyte solution and increasing the concentration of alkali hydroxide in said first catholyte solution; filling said first anolyte solution into said anode compartment of said second cell to form a second anolyte solution; filling alkaline catholyte solution into said cathode compartment of said second cell to form a second catholyte solution; passing an electric current through said second membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said second anolyte solution and increasing the concentration of alkali hydroxide in said second catholyte solution. 8. The method of claim 7, wherein following the step of passing current through said first cell, said first catholyte solution is transferred to a regenerated electrolyte reservoir. 9. The method of claim 7, wherein following the step of passing current through said second cell, said second catholyte solution is transferred to said first cathode compartment of said first electrolysis cell. 10. An electrolyte regeneration device comprising membrane electrolysis cell having spaced apart anode and oxygen-consuming cathode, with cation exchange membrane placed in the space between said anode and cathode defining an anode compartment and cathode compartment. 11. The device of claim 10, wherein the anode compartment and cathode compartment are connected to anolyte and catholyte circulation lines, respectively, with solid/liquid separation means placed in, or in fluid communication with, said anolyte circulation line. 12. The device of claim 10, wherein said anode compartment and said cathode compartment are connected to allow passage of oxygen from the anode side to the outer face of said cathode. 13. The device of claim 11, wherein a first tank which contains an alkali salt of hydroxide complex anion is connected to the anolyte circulation line and a second tank which contains alkali hydroxide is connected to the catholyte circulation line. 14. An electrolyte regeneration device comprising a first and a second membrane electrolysis cells, each cell comprising an anode and an oxygen-consuming cathode, with cation exchange membrane placed in the space between said anode and said cathode defining an anode compartment and cathode compartment, wherein the anode compartments of the two cells are connected and the cathode compartments of the two cells are connected. 15. The device of claim 14, wherein at least one of said anode compartments and cathode compartments are connected to anolyte and/or catholyte circulation lines, respectively, with solid/liquid separation means placed in, or in fluid communication with, said anolyte circulation line. 16. An electrochemical energy generation apparatus comprising: (i) metal/air battery provided with an electrolyte reservoir for circulating electrolyte; (ii) an electrolyte regeneration device according to claim 10, wherein at least one of the compartments of said membrane electrolysis cell(s) is in fluid communication with said electrolyte reservoir of said metal/air battery. 17. An electric vehicle powered by metal/air battery and optionally by means of other power sources, characterized in that a reservoir for holding the electrolyte of said metal/air battery is in fluid communication with an electrolyte regeneration device powered by electricity. 18. A method for regenerating, in an electric vehicle service station, an electrolyte of a metal/air battery of an electric vehicle arriving at said service station, said method comprises removing spent electrolyte from a reservoir connected to said metal/air battery mounted in said vehicle, regenerating said electrolyte by means of electrolysis and feeding a regenerated electrolyte or a fresh electrolyte to said reservoir. 19. The method of claim 18, wherein said regeneration comprises: providing a membrane electrolysis cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling said spent electrolyte into said anode compartment to form an anolyte solution; filling alkaline solution into said cathode compartment to form a catholyte solution; passing an electric current through said membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said anolyte solution and increasing the concentration of alkali hydroxide in said catholyte solution."," BACKGROUND OF THE INVENTION Metal-air electrochemical power sources, particularly Al-air batteries and fuel cells with alkaline electrolyte are suitable for electric vehicles, unmanned aerial vehicles (UAV), reserve and emergency power supply and other applications. Metal-air system with alkaline electrolyte has a great electrochemical capacity (about 8 k Wh/kg). However, during the operation of metal-Air batteries, metal hydroxide such as aluminum hydroxide and soluble ions such as aluminates (e.g. K + [Al(OH) 4 ] − ) in the case of aluminum-air battery are formed in the electrolyte solution by dissolution of metal from the anode. This process lowers the efficiency of the metal-air battery. Accordingly, following a certain operation time, the electrolyte solution needs to be replaced or regenerated. One factor that makes electrolyte regeneration challenging is that most of the aluminum in the spent electrolyte is present in the form of aluminates and therefore is difficult to separate from the used electrolyte solution. In its most general form, the operation of metal/air electrochemical cell is based on the reduction of oxygen, which takes place at the cathode, and on the oxidation of metallic anode. The aqueous electrolyte present in the cell is preferably a highly alkaline solution, e.g., highly concentrated potassium hydroxide solution. A typical structure of a metal/air battery is schematically shown in FIG. 1 , in which the air cathode, the consumable metallic anode and the electrolyte are shown. These components (the cathode, anode and electrolyte) are described in more detail below. A commonly used air cathode consists of (i) an electronically conductive screen, an expanded foil or a metallic foam which serves as a current collector, (ii) active electrode particles provided within or surrounding the current collector (including a catalyst for promoting the reduction of oxygen) and (iii) hydrophobic porous film (for example PTFE, Teflon®) supported on one face of said screen or foil. One face of the air cathode is exposed to oxygen source (e.g., air) and the other face of the air cathode is exposed to the alkaline electrolyte. The air cathode is permeable to air, but its external face is hydrophobic and impermeable to the aqueous electrolyte. The anode immersed in the electrolyte is made of metals such as aluminum, zinc, magnesium, iron and alloys thereof. When aluminum anode is used, then the cell is a primary cell, i.e., recharging of the cell is effected by replacing the spent aluminum anode with a fresh anode. In the case of zinc anode, both primary and secondary cells are known. Turning now to the electrolyte, in aluminum/air batteries for example, it is generally held in a reservoir placed externally to the battery, and it flows to and from the cell stack utilizing a suitable circulation system. It is noted that the oxidation reaction of an aluminum anode in an alkaline electrolyte (e.g., potassium hydroxide) results in the formation of the aluminate ion [Al(OH) 4 ] − as shown below: in-line-formulae description=""In-line Formulae"" end=""lead""? 4Al (s) +3O 2(g) +6H 2 O+4KOH (aq) →4K + (aq) +4Al(OH) 4 (aq) − (I) in-line-formulae description=""In-line Formulae"" end=""tail""? During discharge, i.e., energy generation, as the concentration of the aluminate within the recirculating electrolyte increases, the battery voltage decreases, due to the reduction in the ionic conductivity of the electrolyte and lack of free hydroxide ions. Thus, the operability of the electrolyte solution deteriorates gradually with time of operation and once it drops below an acceptable level, the spent electrolyte consisting of the aluminate solution is removed from the reservoir and fresh alkaline electrolyte is introduced into the reservoir. In U.S. Pat. No. 4,908,281 it is explained that after the dissolved aluminate exceeds saturation level, the precipitation of solids takes place in the recirculating alkaline electrolyte due to the following reaction: in-line-formulae description=""In-line Formulae"" end=""lead""? 4K + (aq) +4Al(OH) 4 (aq) − →4Al(OH) 3 (solid) +4KOH (aq) (II) in-line-formulae description=""In-line Formulae"" end=""tail""? Reaction (II) is therefore supposed to release potassium hydroxide from the corresponding aluminate and concurrently form a precipitate of aluminum hydroxide. However, experimental work carried out at our laboratories in connection with the present invention indicates that the spent aluminate-containing electrolyte is not easily separable into potassium hydroxide and aluminum hydroxide. FIG. 2 is a bar diagram illustrating the composition of a fresh electrolyte consisting of 30% w/w aqueous potassium hydroxide solution (left bar) and a spent electrolyte withdrawn from an aluminum/air battery (right bar). The results indicate that in the spent electrolyte most of the potassium hydroxide is bound within the potassium aluminate, with only minor fraction being available in a free form (KOH free). Likewise, the quantity of the solid phase (the aluminate-containing precipitate) is small. Consequently, the release of potassium hydroxide from spent electrolyte, such that it may be recycled and reused in the metal/air battery, poses a challenge to the rapidly developing electric vehicle industry where such batteries are employed for powering vehicles. A feasible method for regenerating potassium hydroxide from spent potassium aluminate solution would constitute a major advancement in metal/air battery technology."," SUMMARY OF THE INVENTION This invention provides methods, devices and systems for recycling of solutions comprising metal ions. Specifically, the invention provides systems and methods for regeneration of spent electrolyte solutions comprising metal ions, such as alkaline electrolyte solutions used in metal/air batteries or in hydrogen generators. Processes of this invention include regeneration of electrolyte to a level allowing subsequent use. In one embodiment, this invention provides a method for regenerating spent electrolyte solution, the method comprising: providing a membrane electrolysis cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling the spent electrolyte into the anode compartment to form an anolyte solution; filling alkaline solution into the cathode compartment to form a catholyte solution; passing an electric current through said membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said anolyte solution and increasing the concentration of alkali hydroxide in said catholyte solution. In one embodiment, this invention provides a method for regenerating spent electrolyte solution, the method comprising: providing a first and a second membrane electrolysis cells, each cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling said spent electrolyte into said anode compartment of said first cell to form a first anolyte solution; filling alkaline solution into said cathode compartment of said first cell to form a first catholyte solution; passing an electric current through said first membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said first anolyte solution and increasing the concentration of alkali hydroxide in said first catholyte solution; filling the first anolyte solution into said anode compartment of the second cell to form a anolyte solution; filling the first catholyte solution into said cathode compartment of said second cell to form a catholyte solution; passing an electric current through said second membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said second anolyte solution and increasing the concentration of alkali hydroxide in said second catholyte solution. In one embodiment, this invention provides an electrolyte regeneration device comprising membrane electrolysis cell having an anode and an oxygen-consuming cathode, with cation exchange membrane placed in the space between said anode and cathode defining an anode compartment and cathode compartment. In one embodiment, this invention provides an electrochemical energy generation apparatus comprising: (i) metal/air battery provided with a reservoir for circulating electrolyte; (ii) an electrolyte regeneration device comprising membrane electrolysis cell having an anode and an oxygen-consuming cathode, with cation exchange membrane placed in the space between said anode and cathode defining an anode compartment and cathode compartment, wherein at least one of the compartments of the membrane electrolysis cell is in fluid communication with the electrolyte reservoir of the metal/air battery. In one embodiment, this invention provides an electric vehicle powered by metal/air battery and optionally by means of other power sources, characterized in that a reservoir for holding the electrolyte of said metal/air battery is in fluid communication with an electrolyte regeneration device powered by electricity. In one embodiment, this invention provides a method for regenerating, in an electric vehicle service station, an electrolyte of a metal/air battery of an electric vehicle arriving at said service station, the method comprises removing spent electrolyte from a reservoir connected to the metal/air battery mounted in the vehicle, regenerating the electrolyte by means of electrolysis and feeding a regenerated electrolyte or a fresh electrolyte to the reservoir.","FIELD OF THE INVENTION This invention relates to an electrolysis-based process for recycling of solutions comprising metal ions. Specifically, the invention is related to regeneration of spent electrolyte solutions comprising metal ions, such as alkaline electrolyte solutions used in metal/air batteries or in hydrogen generators. Processes of this invention include regeneration of electrolyte to a level allowing subsequent use. BACKGROUND OF THE INVENTION Metal-air electrochemical power sources, particularly Al-air batteries and fuel cells with alkaline electrolyte are suitable for electric vehicles, unmanned aerial vehicles (UAV), reserve and emergency power supply and other applications. Metal-air system with alkaline electrolyte has a great electrochemical capacity (about 8 k Wh/kg). However, during the operation of metal-Air batteries, metal hydroxide such as aluminum hydroxide and soluble ions such as aluminates (e.g. K+[Al(OH)4]−) in the case of aluminum-air battery are formed in the electrolyte solution by dissolution of metal from the anode. This process lowers the efficiency of the metal-air battery. Accordingly, following a certain operation time, the electrolyte solution needs to be replaced or regenerated. One factor that makes electrolyte regeneration challenging is that most of the aluminum in the spent electrolyte is present in the form of aluminates and therefore is difficult to separate from the used electrolyte solution. In its most general form, the operation of metal/air electrochemical cell is based on the reduction of oxygen, which takes place at the cathode, and on the oxidation of metallic anode. The aqueous electrolyte present in the cell is preferably a highly alkaline solution, e.g., highly concentrated potassium hydroxide solution. A typical structure of a metal/air battery is schematically shown in FIG. 1, in which the air cathode, the consumable metallic anode and the electrolyte are shown. These components (the cathode, anode and electrolyte) are described in more detail below. A commonly used air cathode consists of (i) an electronically conductive screen, an expanded foil or a metallic foam which serves as a current collector, (ii) active electrode particles provided within or surrounding the current collector (including a catalyst for promoting the reduction of oxygen) and (iii) hydrophobic porous film (for example PTFE, Teflon®) supported on one face of said screen or foil. One face of the air cathode is exposed to oxygen source (e.g., air) and the other face of the air cathode is exposed to the alkaline electrolyte. The air cathode is permeable to air, but its external face is hydrophobic and impermeable to the aqueous electrolyte. The anode immersed in the electrolyte is made of metals such as aluminum, zinc, magnesium, iron and alloys thereof. When aluminum anode is used, then the cell is a primary cell, i.e., recharging of the cell is effected by replacing the spent aluminum anode with a fresh anode. In the case of zinc anode, both primary and secondary cells are known. Turning now to the electrolyte, in aluminum/air batteries for example, it is generally held in a reservoir placed externally to the battery, and it flows to and from the cell stack utilizing a suitable circulation system. It is noted that the oxidation reaction of an aluminum anode in an alkaline electrolyte (e.g., potassium hydroxide) results in the formation of the aluminate ion [Al(OH)4]− as shown below: 4Al(s)+3O2(g)+6H2O+4KOH(aq)→4K+(aq)+4Al(OH)4 (aq)− (I) During discharge, i.e., energy generation, as the concentration of the aluminate within the recirculating electrolyte increases, the battery voltage decreases, due to the reduction in the ionic conductivity of the electrolyte and lack of free hydroxide ions. Thus, the operability of the electrolyte solution deteriorates gradually with time of operation and once it drops below an acceptable level, the spent electrolyte consisting of the aluminate solution is removed from the reservoir and fresh alkaline electrolyte is introduced into the reservoir. In U.S. Pat. No. 4,908,281 it is explained that after the dissolved aluminate exceeds saturation level, the precipitation of solids takes place in the recirculating alkaline electrolyte due to the following reaction: 4K+(aq)+4Al(OH)4 (aq)−→4Al(OH)3 (solid)+4KOH(aq) (II) Reaction (II) is therefore supposed to release potassium hydroxide from the corresponding aluminate and concurrently form a precipitate of aluminum hydroxide. However, experimental work carried out at our laboratories in connection with the present invention indicates that the spent aluminate-containing electrolyte is not easily separable into potassium hydroxide and aluminum hydroxide. FIG. 2 is a bar diagram illustrating the composition of a fresh electrolyte consisting of 30% w/w aqueous potassium hydroxide solution (left bar) and a spent electrolyte withdrawn from an aluminum/air battery (right bar). The results indicate that in the spent electrolyte most of the potassium hydroxide is bound within the potassium aluminate, with only minor fraction being available in a free form (KOH free). Likewise, the quantity of the solid phase (the aluminate-containing precipitate) is small. Consequently, the release of potassium hydroxide from spent electrolyte, such that it may be recycled and reused in the metal/air battery, poses a challenge to the rapidly developing electric vehicle industry where such batteries are employed for powering vehicles. A feasible method for regenerating potassium hydroxide from spent potassium aluminate solution would constitute a major advancement in metal/air battery technology. SUMMARY OF THE INVENTION This invention provides methods, devices and systems for recycling of solutions comprising metal ions. Specifically, the invention provides systems and methods for regeneration of spent electrolyte solutions comprising metal ions, such as alkaline electrolyte solutions used in metal/air batteries or in hydrogen generators. Processes of this invention include regeneration of electrolyte to a level allowing subsequent use. In one embodiment, this invention provides a method for regenerating spent electrolyte solution, the method comprising: providing a membrane electrolysis cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling the spent electrolyte into the anode compartment to form an anolyte solution; filling alkaline solution into the cathode compartment to form a catholyte solution; passing an electric current through said membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said anolyte solution and increasing the concentration of alkali hydroxide in said catholyte solution. In one embodiment, this invention provides a method for regenerating spent electrolyte solution, the method comprising: providing a first and a second membrane electrolysis cells, each cell comprising: an anode; an oxygen-consuming cathode; a cation exchange membrane placed in the space between said anode and said cathode, said membrane defining an anode compartment and cathode compartment. filling said spent electrolyte into said anode compartment of said first cell to form a first anolyte solution; filling alkaline solution into said cathode compartment of said first cell to form a first catholyte solution; passing an electric current through said first membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said first anolyte solution and increasing the concentration of alkali hydroxide in said first catholyte solution; filling the first anolyte solution into said anode compartment of the second cell to form a anolyte solution; filling the first catholyte solution into said cathode compartment of said second cell to form a catholyte solution; passing an electric current through said second membrane electrolysis cell thus reducing the concentration of alkali hydroxide in said second anolyte solution and increasing the concentration of alkali hydroxide in said second catholyte solution. In one embodiment, this invention provides an electrolyte regeneration device comprising membrane electrolysis cell having an anode and an oxygen-consuming cathode, with cation exchange membrane placed in the space between said anode and cathode defining an anode compartment and cathode compartment. In one embodiment, this invention provides an electrochemical energy generation apparatus comprising: (i) metal/air battery provided with a reservoir for circulating electrolyte; (ii) an electrolyte regeneration device comprising membrane electrolysis cell having an anode and an oxygen-consuming cathode, with cation exchange membrane placed in the space between said anode and cathode defining an anode compartment and cathode compartment, wherein at least one of the compartments of the membrane electrolysis cell is in fluid communication with the electrolyte reservoir of the metal/air battery. In one embodiment, this invention provides an electric vehicle powered by metal/air battery and optionally by means of other power sources, characterized in that a reservoir for holding the electrolyte of said metal/air battery is in fluid communication with an electrolyte regeneration device powered by electricity. In one embodiment, this invention provides a method for regenerating, in an electric vehicle service station, an electrolyte of a metal/air battery of an electric vehicle arriving at said service station, the method comprises removing spent electrolyte from a reservoir connected to the metal/air battery mounted in the vehicle, regenerating the electrolyte by means of electrolysis and feeding a regenerated electrolyte or a fresh electrolyte to the reservoir. BRIEF DESCRIPTION OF THE DRAWINGS The subject matter regarded as the invention is particularly pointed out and distinctly claimed in the concluding portion of the specification. The invention, however, both as to organization and method of operation, together with objects, features, and advantages thereof, may best be understood by reference to the following detailed description when read with the accompanying drawings in which: FIG. 1 is a schematic metal-air cell. FIG. 2 shows the composition of fresh electrolyte vs. spent electrolyte. FIG. 3 is a schematic of an electrolysis cell of the invention. FIG. 4 is a schematic of an electrolysis cell of the invention. FIG. 5 is a schematic of an electrolysis cell of the invention. FIG. 6 is a schematic of an electrolysis cell of the invention. FIG. 7 is a plot of voltage vs. time for an electrolysis cell during operation. FIG. 8 is a plot of voltage vs. time for an electrolysis cell during operation. FIG. 9 is a plot of voltage vs. time for an electrolysis cell during operation. FIG. 10 is a schematic of system comprising an energy production unit and an electrolysis regeneration unit for electrolyte regeneration. FIG. 11 is a schematic of a two-step electrolysis process for regenerating an electrolyte. FIG. 12 is a bar diagram showing chemical concentrations during a two-step electrolysis regeneration process of spent electrolyte. It will be appreciated that for simplicity and clarity of illustration, elements shown in the figures have not necessarily been drawn to scale. For example, the dimensions of some of the elements may be exaggerated relative to other elements for clarity. Further, where considered appropriate, reference numerals may be repeated among the figures to indicate corresponding or analogous elements. DETAILED DESCRIPTION OF THE PRESENT INVENTION In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of the invention. However, it will be understood by those skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known methods, procedures, and components have not been described in detail so as not to obscure the present invention. One aspect of this invention is the regeneration of spent electrolyte using an electrolysis process. It has now been found that an alkaline solution is separable and recoverable from an aqueous aluminate solution by means of electrolysis-based method. According to this aspect of the invention, membrane electrolysis cell which employs an oxygen-consuming cathode can be used to recover alkaline solutions (e.g., potassium hydroxide or sodium hydroxide) from aqueous solutions of hydroxide complex anions that are soluble in moderately strong alkaline environment, e.g., hydroxide complex anions of the formula [M(OH)n]−p, wherein M indicates a metal, n is an integer equal to or greater than 3 and p is an integer equal to or greater than 1 (e.g., p equals 1 or 2). More specifically, M indicates a metal which forms sparingly soluble or water insoluble hydroxide of the formula M(OH)m (m represents a modulo operation. 4. The method according to claim 1, wherein the second detection filter is configured in consideration of a number of effective channels of the RACH. 5. The method according to claim 4, wherein the second detection filter Gm is configured by [s(mN) s(m−1N) . . . s(m+L−1N)], where m represents the cyclic shift values, L represents the number of effective channels, N represents a total length of a Zadoff-Chu sequence, and < > represents a modulo operation. 6. The method according to claim 1, wherein the cyclic shift values are set in consideration of a number of effective channels. 7. The method according to claim 1, wherein the cyclic shift candidates are periods during which a sequence correlation derived from a Zero Correlation Zone (ZCZ) is equal to or larger than the reference value. 8. A base station for detecting a Random Access Channel (RACH) signal in a wireless access system supporting a high frequency band, the base station comprising: a transmitter; a receiver; and a processor configured to detect the RACH signal, wherein the processor is configured to allocate cyclic shift values used in the base station, configure a received signal vector for signals transmitted on the RACH, derive cyclic shift candidates equal to or larger than a reference value from the received signal vector, using a first detection filter, and detect a RACH signal from the cyclic shift candidates using a second detection filter, and wherein the first detection filter and the second detection filter are configured based on the cyclic shift values. 9. The base station according to claim 8, wherein the first detection filter is configured on the assumption that a number of effective channels of the RACH is 1. 10. The base station according to claim 9, wherein the first detection filter Gm is configured by [s(mN)], where m represents the cyclic shift values, N represents a total length of a Zadoff-Chu sequence, and < > represents a modulo operation. 11. The base station according to claim 8, wherein the second detection filter is configured in consideration of a number of effective channels of the RACH. 12. The base station according to claim 11, wherein the second detection filter Gm is configured by [s(mN) s(m+1N) . . . s(m+L−1N)] where m represents the cyclic shift values, L represents the number of effective channels, N represents a total length of a Zadoff-Chu sequence, and < > represents a modulo operation. 13. The base station according to claim 8, wherein the cyclic shift values are set in consideration of a number of effective channels. 14. The base station according to claim 8, wherein the cyclic shift candidates are periods during which a sequence correlation derived from a Zero Correlation Zone (ZCZ) is equal to or larger than the reference value."," BACKGROUND ART Wireless access systems have been widely deployed to provide various types of communication services such as voice or data. In general, a wireless access system is a multiple access system that supports communication of multiple users by sharing available system resources (a bandwidth, transmission power, etc.) among them. For example, multiple access systems include a Code Division Multiple Access (CDMA) system, a Frequency Division Multiple Access (FDMA) system, a Time Division Multiple Access (TDMA) system, an Orthogonal Frequency Division Multiple Access (OFDMA) system, and a Single Carrier Frequency Division Multiple Access (SC-FDMA) system.",,"TECHNICAL FIELD The present invention relates to a method for detecting an uplink synchronization signal in a wireless access system supporting a high frequency band, and a method for designing a detection filter for the same. BACKGROUND ART Wireless access systems have been widely deployed to provide various types of communication services such as voice or data. In general, a wireless access system is a multiple access system that supports communication of multiple users by sharing available system resources (a bandwidth, transmission power, etc.) among them. For example, multiple access systems include a Code Division Multiple Access (CDMA) system, a Frequency Division Multiple Access (FDMA) system, a Time Division Multiple Access (TDMA) system, an Orthogonal Frequency Division Multiple Access (OFDMA) system, and a Single Carrier Frequency Division Multiple Access (SC-FDMA) system. DISCLOSURE Technical Problem An object of the present invention devised to solve the problem lies on methods for efficiently detecting an uplink synchronization signal in a communication environment using a high frequency band. Another object of the present invention is to provide a 2-step synchronization signal detection method for detecting a synchronization signal with low complexity. Another object of the present invention is to provide a method for designing a detection filter for acquiring uplink synchronization in a high frequency band. Another object of the present invention is to provide apparatuses supporting the above methods. It will be appreciated by persons skilled in the art that the objects that could be achieved with the present invention are not limited to what has been particularly described hereinabove and the above and other objects that the present invention could achieve will be more clearly understood from the following detailed description. Technical Solution The present invention provides a method for detecting an uplink synchronization signal in a wireless access system supporting a high frequency band, a method for designing a detection filter for the same, and apparatuses supporting the methods. In an aspect of the present invention, provided herein is a method for detecting a Random Access Channel (RACH) signal by a base station in a wireless access system supporting a high frequency band. the method includes allocating cyclic shift values used in the base station, configuring a received signal vector for signals transmitted on the RACH, deriving cyclic shift candidates equal to or larger than a reference value from the received signal vector, using a first detection filter, and detecting a RACH signal from the cyclic shift candidates using a second detection filter. The first detection filter and the second detection filter are configured based on the cyclic shift values. In another aspect of the present invention, a base station for detecting an RACH signal in a wireless access system supporting a high frequency band includes a transmitter, a receiver, and a processor configured to detect the RACH signal. The processor is configured to allocate cyclic shift values used in the base station, configure a received signal vector for signals transmitted on the RACH, derive cyclic shift candidates equal to or larger than a reference value from the received signal vector, using a first detection filter, and detect a RACH signal from the cyclic shift candidates using a second detection filter. The first detection filter and the second detection filter are configured based on the cyclic shift values. In the above aspects of the present invention, the first detection filter may be configured on the assumption that the number of effective channels of the RACH is 1. Herein, the first detection filter Gm may be configured by [s(mN)] where m represents the cyclic shift values, N represents a total length of a Zadoff-Chu sequence, and < > represents a modulo operation. The second detection filter may be configured in consideration of the number of effective channels of the RACH. Herein, the second detection filter Gm may be configured by [s(mN) s(m+1N) . . . s(m+L−1N)] where m represents the cyclic shift values, L represents the number of effective channels, N represents a total length of a Zadoff-Chu sequence, and < > represents a modulo operation. The cyclic shift values may be set in consideration of the number of effective channels. The cyclic shift candidates may be periods during which a sequence correlation derived from a Zero Correlation Zone (ZCZ) is equal to or larger than the reference value. The afore-described aspects of the present invention are merely a part of preferred embodiments of the present invention. Those skilled in the art will derive and understand various embodiments reflecting the technical features of the present invention from the following detailed description of the present invention. Advantageous Effects According to the embodiments of the present invention, the following effects can be achieved. First, an uplink synchronization signal can be acquired efficiently in a communication environment using a high frequency band. Secondly, a synchronization signal can be detected with low complexity based on a 2-step synchronization signal detection method. Thirdly, a detection filter for acquiring uplink synchronization in a high frequency band can be designed. Fourthly, an apparatus supporting the above methods can be provided. It will be appreciated by persons skilled in the art that that the effects that can be achieved through the present invention are not limited to what has been particularly described hereinabove and other advantages of the present invention will be more clearly understood from the following detailed description. DESCRIPTION OF DRAWINGS The accompanying drawings, which are included to provide a further understanding of the invention, illustrate embodiments of the invention and together with the description serve to explain the principle of the invention. In the drawings: FIG. 1 illustrates physical channels and a general signal transmission method using the physical channels, which may be used in embodiments of the present invention; FIG. 2 illustrates radio frame structures used in embodiments of the present invention; FIG. 3 illustrates a structure of a DownLink (DL) resource grid for the duration of one DL slot, which may be used in embodiments of the present invention; FIG. 4 illustrates a structure of an UpLink (UL) subframe, which may be used in embodiments of the present invention; FIG. 5 illustrates a structure of a DL subframe, which may be used in embodiments of the present invention; FIG. 6 illustrates the conceptual characteristics of a small cell; FIG. 7 illustrates an exemplary structure of a Random Access Channel (RACH) preamble; FIG. 8 illustrates the concept of generation of an effective single path and reception of a sequence at a Base Station (BS), when an RACH subcarrier spacing is small; FIG. 9 illustrates the concept of generation of effective multiple paths and reception of a sequence at a BS, when an RACH subcarrier spacing is large; FIG. 10 illustrates one of methods for configuring a Zero Correlation Zone (ZCZ) in consideration of an effective delay L of a channel; FIG. 11 illustrates one of methods for extracting a reception vector r according to a time delay within a ZCZ; FIG. 12 illustrates accurate reception of a synchronization signal using a second detection filter in consideration of an effective channel L; FIG. 13 illustrates one of methods for detecting an RACH signal stepwise; FIG. 14 illustrates a method for detecting multiple users according to an embodiment of the present invention; and FIG. 15 is a block diagram of apparatuses that can implement the methods described in FIGS. 1 to 14. BEST MODE Embodiments of the present invention described below in detail provide a method for transmitting and receiving a data symbol using a correlation between antennas that form a massive antenna, and apparatuses supporting the method. The embodiments of the present invention described below are combinations of elements and features of the present invention in specific forms. The elements or features may be considered selective unless otherwise mentioned. Each element or feature may be practiced without being combined with other elements or features. Further, an embodiment of the present invention may be constructed by combining parts of the elements and/or features. Operation orders described in embodiments of the present invention may be rearranged. Some constructions or elements of any one embodiment may be included in another embodiment and may be replaced with corresponding constructions or features of another embodiment. In the description of the attached drawings, a detailed description of known procedures or steps of the present invention will be avoided lest it should obscure the subject matter of the present invention. In addition, procedures or steps that could be understood to those skilled in the art will not be described either. In the embodiments of the present invention, a description is mainly made of a data transmission and reception relationship between a Base Station (BS) and a User Equipment (UE). A BS refers to a terminal node of a network, which directly communicates with a UE. A specific operation described as being performed by the BS may be performed by an upper node of the BS. Namely, it is apparent that, in a network comprised of a plurality of network nodes including a BS, various operations performed for communication with a UE may be performed by the BS, or network nodes other than the BS. The term ‘BS’ may be replaced with a fixed station, a Node B, an evolved Node B (eNode B or eNB), an Advanced Base Station (ABS), an access point, etc. In the embodiments of the present invention, the term terminal may be replaced with a UE, a Mobile Station (MS), a Subscriber Station (SS), a Mobile Subscriber Station (MSS), a mobile terminal, an Advanced Mobile Station (AMS), etc. A transmitter is a fixed and/or mobile node that provides a data service or a voice service and a receiver is a fixed and/or mobile node that receives a data service or a voice service. Therefore, a UE may serve as a transmitter and a BS may serve as a receiver, on an UpLink (UL). Likewise, the UE may serve as a receiver and the BS may serve as a transmitter, on a DL. The embodiments of the present invention may be supported by standard specifications disclosed for at least one of wireless access systems including an Institute of Electrical and Electronics Engineers (IEEE) 802.xx system, a 3rd Generation Partnership Project (3GPP) system, a 3GPP Long Term Evolution (LTE) system, and a 3GPP2 system. In particular, the embodiments of the present invention may be supported by the standard specifications, 3GPP TS 36.211, 3GPP TS 36.212, 3GPP TS 36.213, and 3GPP TS 36.321. That is, the steps or parts, which are not described to clearly reveal the technical idea of the present invention, in the embodiments of the present invention may be explained by the above standard specifications. All terms used in the embodiments of the present invention may be explained by the standard specifications. Reference will now be made in detail to the preferred embodiments of the present invention with reference to the accompanying drawings. The detailed description, which will be given below with reference to the accompanying drawings, is intended to explain exemplary embodiments of the present invention, rather than to show the only embodiments that can be implemented according to the invention. The following detailed description includes specific terms in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the specific terms may be replaced with other terms without departing the technical spirit and scope of the present invention. For example, the term used in embodiments of the present invention, ‘synchronization signal’ is interchangeable with a synchronization sequence, a training symbol or a synchronization preamble in the same meaning. The embodiments of the present invention can be applied to various wireless access systems such as Code Division Multiple Access (CDMA), Frequency Division Multiple Access (FDMA), Time Division Multiple Access (TDMA), Orthogonal Frequency Division Multiple Access (OFDMA), Single Carrier Frequency Division Multiple Access (SC-FDMA), etc. CDMA may be implemented as a radio technology such as Universal Terrestrial Radio Access (UTRA) or CDMA2000. TDMA may be implemented as a radio technology such as Global System for Mobile communications (GSM)/General packet Radio Service (GPRS)/Enhanced Data Rates for GSM Evolution (EDGE). OFDMA may be implemented as a radio technology such as IEEE 802.11 (Wi-Fi), IEEE 802.16 (WiMAX), IEEE 802.20, Evolved UTRA (E-UTRA), etc. UTRA is a part of Universal Mobile Telecommunications System (UMTS). 3GPP LTE is a part of Evolved UMTS (E-UMTS) using E-UTRA, adopting OFDMA for DL and SC-FDMA for UL. LTE-Advanced (LTE-A) is an evolution of 3GPP LTE. While the embodiments of the present invention are described in the context of a 3GPP LTE/LTE—A system in order to clarify the technical features of the present invention, the present invention is also applicable to an TFEE 802.16e/m system, etc. 1. 3GPP LTE/LTE-A System In a wireless access system, a UE receives information from an eNB on a DL and transmits information to the eNB on a UL. The information transmitted and received between the UE and the eNB includes general data information and various types of control information. There are many physical channels according to the types/usages of information transmitted and received between the eNB and the UE. 1.1 System Overview FIG. 1 illustrates physical channels and a general method using the physical channels, which may be used in embodiments of the present invention. When a UE is powered on or enters a new cell, the UE performs initial cell search (S11). The initial cell search involves acquisition of synchronization to an eNB. Specifically, the UE synchronizes its timing to the eNB and acquires information such as a cell Identifier (ID) by receiving a Primary Synchronization Channel (P-SCH) and a Secondary Synchronization Channel (S-SCH) from the eNB. Then the UE may acquire information broadcast in the cell by receiving a Physical Broadcast Channel (PBCH) from the eNB. During the initial cell search, the UE may monitor a DL channel state by receiving a Downlink Reference Signal (DL RS). After the initial cell search, the UE may acquire more detailed system information by receiving a Physical Downlink Control Channel (PDCCH) and receiving a Physical Downlink Shared Channel (PDSCH) based on information of the PDCCH (S12). To complete connection to the eNB, the UE may perform a random access procedure with the eNB (S13 to S16). In the random access procedure, the UE may transmit a preamble on a Physical Random Access Channel (PRACH) (S13) and may receive a PDCCH and a PDSCH associated with the PDCCH (S14). In the case of contention-based random access, the UE may additionally perform a contention resolution procedure including transmission of an additional PRACH (S15) and reception of a PDCCH signal and a PDSCH signal corresponding to the PDCCH signal (S16). After the above procedure, the UE may receive a PDCCH and/or a PDSCH from the eNB (S17) and transmit a Physical Uplink Shared Channel (PUSCH) and/or a Physical Uplink Control Channel (PUCCH) to the eNB (S18), in a general UL/DL signal transmission procedure. Control information that the UE transmits to the eNB is generically called Uplink Control Information (UCI). The UCI includes a Hybrid Automatic Repeat and reQuest Acknowledgement/Negative Acknowledgement (HARQ-ACK/NACK), a Scheduling Request (SR), a Channel Quality Indicator (CQI), a Precoding Matrix Index (PMI), a Rank Indicator (RI), etc. In the LTE system, UCI is generally transmitted on a PUCCH periodically. However, if control information and traffic data should be transmitted simultaneously, the control information and traffic data may be transmitted on a PUSCH. In addition, the UCI may be transmitted aperiodically on the PUSCH, upon receipt of a request/command from a network. FIG. 2 illustrates exemplary radio frame structures used in embodiments of the present invention. FIG. 2(a) illustrates frame structure type 1. Frame structure type 1 is applicable to both a full Frequency Division Duplex (FDD) system and a half FDD system. One radio frame is 10 ms (Tf=307200·Ts) long, including equal-sized 20 slots indexed from 0 to 19. Each slot is 0.5 ms (Tslot=15360·Ts) long. One subframe includes two successive slots. An ith subframe includes 2ith and (2i+1)th slots. That is, a radio frame includes 10 subframes. A time required for transmitting one subframe is defined as a Transmission Time Interval (TTI). Ts is a sampling time given as Ts=1/(15 kHz×2048)=3.2552×10−8 (about 33 ns). One slot includes a plurality of Orthogonal Frequency Division Multiplexing (OFDM) symbols or SC-FDMA symbols in the time domain by a plurality of Resource Blocks (RBs) in the frequency domain. A slot includes a plurality of OFDM symbols in the time domain. Since OFDMA is adopted for DL in the 3GPP LTE system, one OFDM symbol represents one symbol period. An OFDM symbol may be called an SC-FDMA symbol or symbol period. An RB is a resource allocation unit including a plurality of contiguous subcarriers in one slot. In a full FDD system, each of 10 subframes may be used simultaneously for DL transmission and UL transmission during a 10-ms duration. The DL transmission and the UL transmission are distinguished by frequency. On the other hand, a UE cannot perform transmission and reception simultaneously in a half FDD system. The above radio frame structure is purely exemplary. Thus, the number of subframes in a radio frame, the number of slots in a subframe, and the number of OFDM symbols in a slot may be changed. FIG. 2(b) illustrates frame structure type 2. Frame structure type 2 is applied to a Time Division Duplex (TDD) system. One radio frame is 10 ms (Tf=307200·Ts) long, including two half-frames each having a length of 5 ms (=153600·Ts) long. Each half-frame includes five subframes each being 1 ms (=30720·Ts) long. An ith subframe includes 2ith and (2i+1)th slots each having a length of 0.5 ms (Tslot=15360·Ts). Ts is a sampling time given as Ts=1/(15 kHz×2048)=3.2552×10−8 (about 33 ns). A type-2 frame includes a special subframe having three fields, Downlink Pilot Time Slot (DwPTS), Guard Period (GP), and Uplink Pilot Time Slot (UpPTS). The DwPTS is used for initial cell search, synchronization, or channel estimation at a UE, and the UpPTS is used for channel estimation and UL transmission synchronization with a UE at an eNB. The GP is used to cancel UL interference between a UL and a DL, caused by the multi-path delay of a DL signal. [Table 1] below lists special subframe configurations (DwPTS/GP/UpPTS lengths). TABLE 1 Normal cyclic prefix in downlink Extended cyclic prefix in downlink UpPTS UpPTS Normal Extended Normal Extended Special subframe cyclic prefix cyclic prefix cyclic cyclic configuration DwPTS in uplink in uplink DwPTS prefix in uplink prefix in uplink 0 6592 · Ts 2192 · Ts 2560 · Ts 7680 · Ts 2192 · Ts 2560 · Ts 1 19760 · Ts 20480 · Ts 2 21952 · Ts 23040 · Ts 3 24144 · Ts 25600 · Ts 4 26336 · Ts 7680 · Ts 4384 · Ts 5120 · Ts 5 6592 · Ts 4384 · Ts 5120 · Ts 20480 · Ts 6 19760 · Ts 23040 · Ts 7 21952 · Ts — — — 8 24144 · Ts — — — Table FIG. 3 illustrates an exemplary structure of a DL resource grid for the duration of one DL slot, which may be used in embodiments of the present invention. Referring to FIG. 3, a DL slot includes a plurality of OFDM symbols in the time domain. One DL slot includes 7 OFDM symbols in the time domain and an RB includes 12 subcarriers in the frequency domain, to which the present invention is not limited. Each element of the resource grid is referred to as a Resource Element (RE). An RB includes 12×7 REs. The number of RBs in a DL slot, NDL depends on a DL transmission bandwidth. A UL slot may have the same structure as a DL slot. FIG. 4 illustrates a structure of a UL subframe which may be used in embodiments of the present invention. Referring to FIG. 4, a UL subframe may be divided into a control region and a data region in the frequency domain. A PUCCH carrying UCI is allocated to the control region and a PUSCH carrying user data is allocated to the data region. To maintain a single carrier property, a UE does not transmit a PUCCH and a PUSCH simultaneously. A pair of RBs in a subframe are allocated to a PUCCH for a UE. The RBs of the RB pair occupy different subcarriers in two slots. Thus it is said that the RB pair frequency-hops over a slot boundary. FIG. 5 illustrates a structure of a DL subframe that may be used in embodiments of the present invention. Referring to FIG. 5, up to three OFDM symbols of a DL subframe, starting from OFDM symbol 0 are used as a control region to which control channels are allocated and the other OFDM symbols of the DL subframe are used as a data region to which a PDSCH is allocated. DL control channels defined for the 3GPP LTE system include a Physical Control Format Indicator Channel (PCFICH), a PDCCH, and a Physical Hybrid ARQ Indicator Channel (PHICH). The PCFICH is transmitted in the first OFDM symbol of a subframe, carrying information about the number of OFDM symbols used for transmission of control channels (i.e. the size of the control region) in the subframe. The PHICH is a response channel to a UL transmission, delivering an HARQ ACK/NACK signal. Control information carried on the PDCCH is called Downlink Control Information (DCI). The DCI transports UL resource assignment information, DL resource assignment information, or UL Transmission (Tx) power control commands for a UE group. Embodiments of the present invention described below in detail provide a method for transmitting and receiving a data symbol using a correlation between antennas that form a massive antenna and apparatuses supporting the method. 2. Wireless Access System Supporting Massive Antenna Embodiments of the present invention provide a method for securing transmit diversity using a correlation between antennas in a communication environment supporting a massive antenna. The massive antenna is readily implemented in a high frequency band (a few GHz area) that allows a short distance between antennas. In view of the nature of the massive antenna that many antennas are deployed in a narrow area, it may be impossible to implement all antennas in such a manner that they may be independent of each other with small correlations. On the other hand, if beamforming is applied to the massive antenna, a high correlation between antennas maximizes performance. Thus, extremely high and low correlations between antennas have their own advantages and disadvantages. Accordingly, with the correlation characteristic of the massive antenna, the service coverage of an eNB may be stably secured, and the effect may be maximized particularly when a control channel is transmitted. Further, the embodiments of the present invention are applicable under the same principle even in a broadband communication situation using a high frequency band exceeding 3 GHz as well as a cellular band equal to or below 3 GHz. The embodiments of the present invention are applicable to small cells as well as legacy macro cells. Hereinbelow, a wireless access environment to which a massive antenna may be applied will be described. 2.1. Small Cell-Focused New Cell Introduction The current 3GPP LTE-A system is a wireless access system operating in conformance to the Rel-10 to Rel-12 standards. A wireless access system to which the embodiments of the present invention are applied may be a system defined by the 3GPP LTE Rel-12 or below standards. To reinforce support of services per user, introduction of local area cells (i.e., small cells) and Local Area Access (LAA) is under consideration in the Rel-12 system. FIG. 6 illustrates the conceptual characteristics of a small cell. Referring to FIG. 6, the left side illustrates existing cellular bands, and the right side illustrates high frequency bands to which small cells are applied. That is, a small cell may operate in a wide system bandwidth having a higher center frequency than the frequency band of a legacy cellular system, LTE. Further, small cells and legacy cellular cells may be co-existent. For example, basic cell coverage may be supported based on a control signal such as System Information (SI) in a legacy cellular band, whereas data may be transmitted with maximal transmission efficiency in a wide frequency band through a high small-cell frequency band. Therefore, the concept of LAA to which the embodiments of the present invention are applicable is intended for UEs with low-to-medium mobility located in a narrow area, and the coverage of a small cell may be defined in units of 100 m smaller than the coverage of a legacy cell (i.e., a cell in a cellular system) which is defined in units of a few to hundreds of kilometers. Accordingly, as the distance between a UE and an eNB gets short and a high frequency band is used in a small cell, the small cell may have the following channel characteristics. (1) Delay spread: As the distance between a UE and an eNB gets short, the delay of a signal may get short. (2) Subcarrier spacing: If the same OFDM-based frames as in the legacy LTE system are used, a frequency band allocated to each UE is large. Therefore, a subcarrier spacing used in a small cell may be set to a value far larger than 15 kHz of the legacy LTE system. (3) Doppler's frequency: Since a high frequency band is used in a small cell, there is a higher Doppler frequency than in a low frequency band, for the same UE speed. Thus, a coherent time may be extremely short. 2.2 Design of Random Access Channel (RACH) for Transmission in High Frequency Band Only when a UE is synchronized with an eNB, the UE may transmit a UL signal and may be scheduled for data transmission. That is, a main rule of an RACH is to enable asynchronous UEs to perform wireless access by using a transmission scheme in which signals from the asynchronous UEs are orthogonal to each other or are not overlapped with each other as much as possible. 2.2.1 Random Access Usage and Requirements A main function of the RACH is performing an UL initial access and a short message transmission. In a WCDMA system, initial network access and short message transmission are performed through the RACH. On the contrary, an LTE system does not transmit a short message on the RACH. Compared to the WCDMA system, the RACH is configured separately from a legacy UL data transmission channel in the LTE system. That is, a PUSCH signal has not a symbol structure with a basic subcarrier spacing Δf=15 kHz but an SC-FDMA structure with Δf=1.25 kHz in the LTE/LTE-A system. Once UL synchronization is acquired between the UE and the eNB, scheduling is performed for the UE in order to allocate orthogonal resources to the UE in the LTE system. The RACH is used in the following related scenarios: (1) when the UE is in RRC_CONNECTED state without UL synchronization and needs to transmit new UL data or control information (e.g., an event-triggered measurement report); (2) when the UE is in the RRC_CONNECTED state without UL synchronization, receives new DL data, and needs to transmit an ACKnowledgement/Negative ACKnowledgement (ACK/NACK) signal on UL in response to the new DL data; (3) when The UE in the RRC_CONNECTED state wants to perform handover from a current serving cell to a target cell; (4) when the UE needs a Timing Advance (TA) for positioning of the UE, that is, the UE seeks positioning in the RRC_CONNECTED state; (5) when the UE transitions from RRC_IDLE state to the RRC_CONNECTED state, for example, for initial access or location update tracking; and (6) for recovery from radio link failure. 2.2.2 RACH Preamble Structure FIG. 7 illustrates an exemplary structure of an RACH preamble. To acquire UL synchronization, a UE transmits an RACH preamble (i.e., an RACH signal) to a BS on an RACH. The RACH preamble is composed of a Cyclic Prefix (CP) and an RACH sequence. The BS configures an RACH parameter to be used for generation of an RACH preamble, in consideration of a Guard Time (GT) based on a cell radius. The CP is configured in consideration of a maximum channel delay spread+a round trip time and the GT absorbs the round trip time. The CP is generated by inserting the last part of an OFDM symbol in a CP interval of the preamble. Thus, an RACH receiver may perform periodic correlation using the CP. Referring to FIG. 7, a UE transmits an RACH preamble to a BS, assuming that a TA is 0 ms, that is, the UE has been synchronized with the BS. Therefore, a preamble transmitted by a UE near to the BS is almost aligned with a preamble received from the UE at the BS, and a preamble transmitted by a UE at a cell edge is received later with a propagation delay at the BS, as illustrated in FIG. 7. Because the BS has knowledge of an RACH sequence transmitted by each UE, the BS may perform a synchronization process based on the detected position of a preamble transmitted by each UE. 2.2.3 RACH Preamble Sequence Types A plurality of sequences are available for RACH preambles. Among them, there are an auto-correlation-based Zadoff-Chu (ZC) sequence and a cross-correlation-based pseudo-random sequence. In general, if intra-cell interference is dominant (i.e., interference from an external cell is small), an auto-correlation-based sequence is used. On the contrary, if inter-cell interference is dominant, a cross-correlation-based sequence is preferred. In the LTE system, a ZC sequence of length (N) 839 is used for the following reasons. (1) The correlation between different preambles using the same frequency-time RACH resources should be small. (2) Inter-cell interference should be optimized according to a cell size. That is, if a smaller cell generates more orthogonal preambles, the detection performance of a BS is improved. (3) As there are more orthogonal preambles, detection performance is improved. To identify UEs, the LTE system uses 64 signatures, whereas the WCDMA system uses 16 signatures. (4) The detection complexity of a BS should be reduced. (5) A fast moving UE should be also supported. To satisfy the above requirements, a ZC sequence described in [Equation 1] may be used for an RACH preamble. x u  ( n ) =  - j  π   un  ( n + 1 ) N ZC , 0 ≤ n ≤ N ZC - 1  ( N ZC = 839 ) [ Equation   1 ] In [Equation 1], u represents a root index of the ZC sequence, and NZC represents the length of the ZC sequence. However, a PN sequence described in [Equation 2] may be used in an environment having severe intra-cell interference between signatures used to identify UEs. x1(n+31)=(x1(n+3)+x1(n))mod 2 x2(n+31)=(x2(n+3)+x2(n+2)+x2(n+1)+x2(n))mod2 c(n)=(x1(n+NC)+x2(n+NC))mod 2 [Equation 2] 2.2.4 Transmission Band of RACH Preamble Two significant factors considered in configuring the transmission band of an RACH preamble are a diversity gain and a transmission power limit for a UE. Unlike a BS, the performance of a power amplifier is limited for a UE. Accordingly, if a wide frequency band is allocated for RACH preamble transmission, energy per resource unit/resource element may get low, whereas frequency diversity may be maximized. On the contrary, if a narrow band is allocated for RACH preamble transmission, energy per resource unit/resource element may get high, whereas frequency diversity may be minimized. Although 1.08 MHz, 2.16 MHz, 4.5 MHz, and 50 MHz (having 6 RBs, 12 RBs, 25 RBs, and 50 RBs, respectively) were candidates for an actual LTE RACH transmission band, 1.08 MHz was finally determined as the RACH transmission band because 6 RBs are sufficient to satisfy a non-detection probability of 1% in an RACH non-detection probability comparison. 2.3 Method for Configuring Zero Correlation Zone (ZCZ) of RACH Sequence Reflecting High Frequency Band Property When designing an RACH sequence, an RACH subcarrier spacing ΔfRA is set to be small, about 1/12 of a legacy basic subcarrier spacing Δf for data in the LTE system. Finally, the basic subcarrier spacing Δf is 15 kHz and the RACH subcarrier spacing ΔfRA is 1.25 kHz in the LTE system. If the subcarrier spacing of a high frequency band channel is smaller than the basic subcarrier spacing, its impact on a Doppler frequency gets great and thus the detection performance of an RACH preamble at a BS may be degraded. For example, a UE moving at the same speed basically experiences a 15 times stronger Doppler effect during transmission in a high frequency band with a center frequency of 30 GHz than with a center frequency of 2 GHz. As a result, reduction of ΔfRA in an RACH for high frequency band transmission as in the legacy LTE system may degrade performance significantly. In general, as ΔfRA is smaller, the number of channel taps corresponding to effective channels is 1 and thus the BS may assume that the number of effective multiple paths of a channel is 1. Therefore, the BS may identify each UE or estimate a timing difference by performing correlation on an RACH sequence transmitted from the UE, using a small ΔfRA value. However, the Doppler effect should be considered for a high frequency channel and thus the RACH subcarrier spacing should be set to be equal to the basic subcarrier spacing. In this case, it may not be assumed that the number of channel taps corresponding to effective channels is 1. FIG. 8 illustrates the concept of generation of an effective single path and reception of a sequence at a BS, when an RACH subcarrier spacing is small, and FIG. 9 illustrates the concept of generation of effective multiple paths and reception of a sequence at a BS, when an RACH subcarrier spacing is large. Referring to FIG. 8, when a ZC sequence s0, s1, s2, s3, . . . , sN−1 is transmitted on an RACH having a relatively small subcarrier spacing, the time-domain length of an RACH transmission symbol is lengthened and thus an effective channel period is assumed to be a single tap. That is, FIG. 8 is based on the assumption that an RACH preamble is used in a bandwidth supported by a legacy cellular system (e.g., an LTE/LTE-A system). Referring to FIG. 9, when a ZC sequence is transmitted on s0, s1, s2, s3, . . . , sN−1 is transmitted on an RACH having a relatively large subcarrier spacing, the time-domain length of an RACH transmission symbol is shortened and thus an effective channel period may include multiple paths. In this case, as many correlations as the number of overlaps between the period of each sequence sample of an RACH preamble and L multiple paths should be performed. That is, as the subcarrier spacing is larger, the number of multiple paths is increased and the number of correlations to be considered in the BS is also increased to the number of multiple paths. Consequently, the RACH signal reception complexity of the BS may increase rapidly. In FIGS. 8 and 9, h0, h1, . . . , hL−1 represents channels on which an RACH preamble is transmitted, and s0, s1, s2, s3, . . . , sN−1 represents a ZC sequence. To maximize the detection performance of a synchronization signal, the BS should ensure selection of a most advancing channel tap h0 from among multiple paths. If the BS is capable of selecting only h1, h2, h3, . . . , hL−1 performance may be degraded in estimating an accurate UL TA. 3. Method for Detecting UL Synchronization Signal Embodiments of the present invention provide methods for detecting a UL synchronization signal, suitable for a communication environment using a high frequency band. The present invention provides methods for designing a synchronization signal detection filter in consideration of the relationship between the channel characteristics of a high frequency band and the subcarrier spacing of a synchronization signal. Since the high frequency band can be used for broadband communication, the period of a single sample may get extremely short in the time domain. In this environment, a channel necessarily experiences a multipath channel delay. Therefore, a synchronization signal detection filter should be designed in consideration of the multipath channel delay. Further, to allow a BS to detect a synchronization signal with low complexity, a 2-step synchronization signal detection process is provided. Meanwhile, if a ZCZ is considered, the BS may estimate an accurate symbol timing at which each UE transmits a synchronization signal as well as it may detect a synchronization signal from each UE. While the embodiments of the present invention relate to methods for detecting a UL synchronization signal, suitable for a high-frequency broadband communication environment, the usages of the methods are not limited to small cells. Now, a description will be given of methods for designing a detection filter for detecting an RACH preamble being a synchronization signal in a high frequency band. 3.1 Design of Synchronization Signal Detection Filter If there are multipath delay channels for multiple users in a high frequency band, a BS may detect accurate RACH sequences only by summing sequence correlation values for respective multiple paths. [Equation 3] describes RACH signals received on the multipath delay channels by the BS. r=Snh+n [Equation 3] In [Equation 3], r represents an N×1 received signal vector and N represents the length of a ZC sequence described in [Equation 1]. It is assumed that a sequence with a cyclic shift ‘n=0’ has been allocated to a first UE (UE#1). Referring to FIG. 9, if the received signal vector r is configured according to [Equation 3], each element may be defined by [Equation 4], [Equation 5], and [Equation 6]. S n = 0 = [ s ( 〈 n 〉 N )  s ( 〈 n + 1 〉 N )   …   s ( n + N - 1 ) ] = [ s ( 0 )  s ( 1 )   …   s ( N - 1 ) ] = [ s 0 s N - 1 … s 1 s 1 s 0 … s 2 ⋮ ⋮ ⋱ ⋮ s N - 1 s N - 2 … s 0 ] [ Equation   4 ]  h = [ h 0 h 1 h 2 … h L - 1 0 0 … 0 ] T [ Equation   5 ]  n = [ n 0 n 1 n 2 … n L - 1 ] T [ Equation   6 ] [Equation 4] describes an N×N ZC sequence matrix S0, [Equation 5] describes an N×1 channel vector h, and [Equation 6] describes an N×1 Additive White Gaussian Noise (AWGN) vector n. s(i) represents an N×1 ZC sequence cyclically shifted by i. In [Equation 4], (m) represents a modulo ‘m’ operation. In [Equation 5], h0, h1, . . . , hL−1 represent effective multiple delay channels and a total channel length is L. The remaining part (N−L) of the ZC sequence length except for the effective channel length L may be filled with a zero sequence. A detection filter capable of detecting UEs that have transmitted RACH signals, that is, an N×L G matrix may be defined by the following equation. G m = [ s ( 〈 m 〉 N )  s ( 〈 m + 1 〉 N )   …   s ( 〈 m + L - 1 〉 N ) ] = [ S 〈 m 〉 N S 〈 m - 1 〉 N … S 〈 m + N - L + 1 〉 N S 〈 m + 1 〉 N S 〈 m 〉 N … S 〈 m + N - L + 2 〉 N ⋮ ⋮ ⋱ ⋮ S 〈 m - 1 〉 N S 〈 m - 2 〉 N … S 〈 m + N - L 〉 N ] [ Equation   7 ] In [Equation 7], s(m+L−1N) represents an N×1 ZC sequence vector cyclically shifted by m+L−1N, ‘m’ represents a cyclic shift value used by a specific UE, L represents the number of effective channel delays, and N represents the total length of a ZC sequence. If m=0, the detection filter G matrix may be expressed as the following [Equation 8]. G 0 = [ s ( 0 )  s ( 1 )   …   s L - 1 ] = [ S 0 S N - 1 … S N - L + 1 S 1 S 0 … S N - L + 2 ⋮ ⋮ ⋱ ⋮ S N - 1 S N - 2 … S L - 1 ] [ Equation   8 ] An orthogonal-independent cyclic shift value ‘m’ allocated to each UE is allocated in units described in [Equation 9]. m = 0 , ( N CS + L ) , …  , ⌊ N N CS + L ⌋ - 1 [ Equation   9 ] This is because the size of a cyclic shift-based ZCZ allocated to each user is NCS+L, where NCS represents a cyclic shift value used for generation of an RACH preamble in the LTE/LTE-A system. Since the size of a sample carrying an actual signal in a ZCZ is ‘L’, the detection filter G is an N×L matrix. The BS detects an RACH sequence for a UL synchronization signal by the following [Equation 10]. m ^ = arg  max m   G m H  r  2 [ Equation   10 ] That is, the BS detects a point at which a peak value is obtained by multiplying the N×1 reception vector r by the Hermitian matrix of the detection filter G matrix formed based on the cyclic shift value ‘m’ allocated to each UE. For example, it is assumed that the total length of an RACH sequence, N is 12 and the size of a ZCZ, NCS is 3. If an effective channel length (i.e., an effective channel tap) L is 3, the ZC sequence may support two UEs in total. Herein, it is assumed that a first cyclic shift value (m=0) has been allocated to a first UE (UE#0) and a seventh cyclic shift value (m=6) has been allocated to a second UE (UE#1). Once the BS performs detection for the first UE, [Equation 10] may be developed to [Equation 11]. m ^ = arg  max m   G m H  r  2 = arg  max m   G m H  S n  h + G m H  n  2 →   G 0 H  S 0  h  2 =   [ s 0 * s 1 * … s 11 * s 11 * s 0 * … s 10 * ⋮ ⋮ ⋱ ⋮ s 10 * s 11 * … s 9 * ]  [ s 0 s 11 … s 1 s 1 s 0 … s 2 ⋮ ⋮ ⋱ ⋮ s 11 s 10 … s 0 ]  [ h 0 ⋮ h 2 0 9 × 1 ]  2 =   [ I 3 × 3   0 3 × 9 ]  [ h 0 ⋮ h 2 0 9 × 1 ]  2 =  h 0  2 +  h 1  2 +  h 2  2 [ Equation   11 ] Referring to [Equation 11], a UL synchronization signal received at the BS is expressed as the sum of power of channels that a UL synchronization signal transmitted by the first UE has experienced. In the same manner as in [Equation 11], a detection result of a UL synchronization signal transmitted by the second UE may be given as [Equation 12]. m ^ = arg  max m   G m H  r  2 = arg  max m   G m H  S n  h + G m H  n  2   →  G 6 H  S 6  h  2 =   [ s 6 * s 7 * … s 5 * s 5 * s 6 * … s 4 * s 4 * s 5 * … s 3 * ]  [ s 6 s 5 … s 7 s 7 s 6 … s 8 ⋮ ⋮ ⋱ ⋮ s 5 s 4 … s 6 ]  [ h 0 ′ ⋮ h 1 ′ 0 9 × 1 ]  2 =   [ I 3 × 3   0 3 × 9 ]  [ h 0 ′ ⋮ h 2 ′ 0 9 × 1 ]  2 =  h 0 ′  2 +  h 1 ′  2 +  h 2 ′  2 [ Equation   12 ] Because the final cyclic shift value allocated to the UE is 6 (m=6), if a final value calculated by [Equation 12] is equal to or larger than a reference value, the BS may confirm reception of an RACH signal. Accordingly, a detection filter Gm may be a filter representing the sequence detection period of each UE based on a cyclic shift value used for a ZC sequence transmission by the UE. Herein, m represents a ZCZ value based on a cyclic shift value allocated to each UE. Also, m is determined in consideration of the total number of effective channel delays, L. Finally, the BS may detect UEs that have transmitted UL synchronization signals by allocating m values to the respective UEs. Allocation of the m values means that if the BS broadcasts information about the m values on a broadcast channel, each UE may configure an RACH signal using an m value. In embodiments of the present invention, the cyclic shift period of an entire ZC sequence is set as illustrated in FIG. 10 because a maximum delay allowed for each UE is limited to NCS and the effective channel delay period L is introduced to prevent overlap between ZCZs. A maximum reception delay of the NCS period is allowed for an RACH sequence having a different cyclic shift value, transmitted by each UE. FIG. 10 illustrates one of methods for configuring a ZCZ in consideration of the effective channel delay L. FIG. 11 illustrates one of methods for extracting a reception vector r according to a time delay within a ZCZ. If a BS receives RACH signals from UEs without any time delay, the reception vector r is expressed as [Equation 13]. If the BS receives an RACH signal from a remotest UE (e.g., a UE located at a cell edge) with a delay of up to NCS−1, the reception vector r is expressed as [Equation 14]. r0=[r0 r1 r2 . . . rN−1]T [Equation 13] rNCS−1=[rNCS−1 rNCS rNCS+1 . . . rNCS+N−2]T [Equation 14] That is, the BS sequentially configures received signal vectors r each having length N, for a received signal delay of up to NCS, and determines RACH detection by correlations with the detection filter G matrix. Herein, it is determined that the detection complexity incurred by multiple paths generated due to the afore-described effective channel delays has been increased or decreased by ×L. Therefore, the present invention proposes a stepwise RACH detection method for reducing detection complexity as follows. 3.2 2-Step UL Synchronization Signal Detection Method 3.2.1 First Detection Step The BS detects a period during which a sequence correlation derived from each ZCZ is equal to or larger than a reference value, assuming that multiple delay channels are a single effective channel in a first detection step (i.e., an initial detection step). It is assumed that the reference value is set in a channel environment and/or a system. A ZCZ index ‘n’ allocated to each UE is set to one of cyclic shift values ‘m’ determined by [Equation 9]. The reason for using a ZCZ value is to prevent overlap between ZC sequences (i.e., RACH signals) transmitted by UEs, which might otherwise take place due to a reception delay caused by different positions of a plurality of UEs, and to perform timing advance. Further, a ZCZ is set to be as long as the effective channel length ‘L’ in order to determine accurate reception positions of ZC sequences received in multiple paths. However, if a sequence correlation operation for the ZC sequence length N is performed for the effective channel length L, the complexity increases from N×1 to N×L. The resulting increase in the reception complexity of the BS may bring about a synchronization signal detection delay. Because signal detection should be performed for all ZC sequences in view of a multi-user-based UL control channel structure, the signal detection complexity of the BS increases. Accordingly, the detection filter G is designed on the assumption that the detection filter G matrix partially has effective channel periods or has a single effective channel period in an extreme case in the first detection step. For the convenience of description, the detection filter G matrix used in the first detection step will be referred to as a first detection filter. That is, it is preferred to configure the first detection filter, i.e., the G matrix used in the first detection step as an N×1 matrix or an N×i, (ih1>h2> . . . >hL−1. That is, even though h0 is a preferred channel, the reception channel having the highest power does not mean accurate time synchronization. Therefore, only when the second detection filter is accurately aligned for the total effective channels, the ZC sequence correlation value is largest. Thus, the BS may detect accurate time synchronization at a point of alignment with h0, as illustrated in FIG. 12. FIG. 12 illustrates accurate reception of a synchronization signal using the second detection filter in consideration of the effective channel L. FIG. 12(a) illustrates detection of RACH signals from multiple delay channels, using a detection filter being a G matrix, and FIG. 12(b) illustrates detection of accurate synchronization signals in the 2-step detection operation of the present invention. Therefore, the BS may estimate a delay of the time synchronization h0 and detect an accurate symbol timing of each UE based on the estimated delay, in addition to a synchronization signal from each UE. FIG. 13 illustrates one of methods for detecting an RACH signal stepwise. That is, FIG. 13 depicts the 2-step RACH signal detection method described in section 3.2 from the viewpoints of a BS and a UE. Referring to FIG. 13, a BS allocates a cyclic shift value m to each UE, which is needed for the UE to generate an RACH signal (S1310). One or more UEs configure RACH signals using the cyclic shift values m and transmit the RACH signals to the BS. The BS receive the RACH signals from the one or more UEs on an RACH including multiple delay channels and configure a received signal vector r based on the RACH signals. The received signal vector r may be expressed as [Equation 3] (S1320). The BS configures a first detection filter, assuming that the multiple delay channels are one effective channel. For the method for configuring a first detection filter, refer to [Equation 15] described in section 3.2.1 (S1330). The BS derives cyclic shift (e.g., ZCZ) candidates based on the first detection filter. That is, the BS configures RACH signals having cyclic shift values equal to or larger than a reference value from among the RACH signals detected through the first detection filter, as cyclic shift value candidates (S1340). The BS configures a second detection filter to derive accurate sequence correlation values and/or delays of the respective RACH signals. For the method for configuring a second detection filter, refer to the description of section 3.2.2, and the second detection filter may be configured according to [Equation 7]. That is, the second detection filter is configured in consideration of the number of effective channels, L (S1350). The BS detects RACH signals for the cyclic shift value candidates derived in step S1340 using the second detection filter. That is, the BS may detect RACH signals by estimating an accurate symbol starting time of each RACH signal using the second detection filter (S1360). In steps S1330 and S1350, the BS may configure the first detection filter and the second detection filter in every frame or subframe. However, considering system complexity, a predetermined number of first and second detection filters may be fixed used, and the system may configure a predetermined number of first and second detection filters as a fixed value. In this case, the BS may perform 2-step RACH signal detection using the first and second detection filters configured according to the cyclic shift values m. 3.2.3 Method for Detecting Multiple UEs by Stepwise RACH Signal Detection It is assumed that different RACH sequences are allocated to four UEs, and the first and second UEs (UE#0 and UE#1) transmit RACH signals (i.e., RACH preambles) on an RACH. The BS acquires correlations through detection filters, as illustrated in FIG. 14. FIG. 14 illustrates a method for detecting multiple users according to an embodiment of the present invention. Given K ZCZs in total, it is assumed that the first and second UEs are allocated first and third ZCZs, respectively. The BS may determine peak values (correlations) equal to or larger than a predetermined value have occurred in ZCZ#0 and ZCZ#2 in the afore-described first detection step. Therefore, the BS may detect transmission of RACH signals from the first and second UEs through the RACH. Also, the BS may estimate accurate symbol starting time points of the RACH signals transmitted by the first and second UEs by performing the second detection step on the detected ZCZs. Methods for detecting a UL synchronization signal, suitable for a communication environment using a high frequency band have been described above. The present invention has provided a method for designing a detection filter in consideration of a relationship between the channel characteristics of a high frequency band and a subcarrier spacing of a synchronization signal and has proposed a 2-step synchronization signal detection method with low complexity based on the above method. Particularly since the high frequency band is intended for broadband communication, the period of a single sample may be extremely short on the time axis. A channel necessarily experiences multi-path delay in this environment and thus design of a suitable detection filter in consideration of the multi-path delay is essential. Therefore, the present invention has presented the basic principle of designing a multi-user synchronization signal detection filter in consideration of a multi-path channel delay, and a stepwise synchronization signal detection process based on the basic principle. Meanwhile, if a ZCZ is considered for the proposed synchronization signal detection filter, an accurate symbol timing of each UE may be estimated as well as a synchronization signal from each UE may be detected. Finally, while the present invention relates to a specific method for detecting a UL synchronization signal, suitable for a high frequency broadband communication environment, the method is also applicable to a normal cellular cell, not limited to a small cell. That is, if a legacy cellular system uses a high frequency band, the method may be applied to the cellular system other than a small cell. 4. Apparatuses Apparatuses illustrated in FIG. 15 are means that can implement the methods described before with reference to FIGS. 1 to 15. A UE may act as a transmission end on a UL and as a reception end on a DL. A BS may act as a reception end on a UL and as a transmission end on a DL. That is, each of the UE and the BS may include a Transmitter (Tx) 1540 or 1550 and Receiver (Rx) 1560 or 1570, for controlling transmission and reception of information, data, and/or messages, and an antenna 1500 or 1510 for transmitting and receiving information, data, and/or messages. Each of the UE and the BS may further include a processor 1520 or 1530 for implementing the afore-described embodiments of the present invention and a memory 1580 or 1590 for temporarily or permanently storing operations of the processor 1520 or 1530. Embodiments of the present invention may be implemented using the afore-described components and functions of a UE and a BS. For example, the processor of the BS may design a detection filter or perform the 2-step RACH signal detection method by combining the methods disclosed in section 1 to section 3. Also, the processor of the UE may configure an RACH signal based on a received cyclic shift value and transmit the RACH signal to the BS, for UL synchronization acquisition. For details, refer to section 3. The Tx and Rx of the UE and the BS may perform a packet modulation/demodulation function for data transmission, a high-speed packet channel coding function, OFDMA packet scheduling, TDD packet scheduling, and/or channelization. Each of the UE and the BS of FIG. 15 may further include a low-power Radio Frequency (RF)/Intermediate Frequency (IF) module. Meanwhile, the UE may be any of a Personal Digital Assistant (PDA), a cellular phone, a Personal Communication Service (PCS) phone, a Global System for Mobile (GSM) phone, a Wideband Code Division Multiple Access (WCDMA) phone, a Mobile Broadband System (MBS) phone, a hand-held PC, a laptop PC, a smart phone, a Multi Mode-Multi Band (MM-MB) terminal, etc. The smart phone is a terminal taking the advantages of both a mobile phone and a PDA. It incorporates the functions of a PDA, that is, scheduling and data communications such as fax transmission and reception and Internet connection into a mobile phone. The MB-MM terminal refers to a terminal which has a multi-modem chip built therein and which can operate in any of a mobile Internet system and other mobile communication systems (e.g. CDMA 2000, WCDMA, etc.). Embodiments of the present invention may be achieved by various means, for example, hardware, firmware, software, or a combination thereof. In a hardware configuration, the methods according to exemplary embodiments of the present invention may be achieved by one or more Application Specific Integrated Circuits (ASICs), Digital Signal Processors (DSPs), Digital Signal Processing Devices (DSPDs), Programmable Logic Devices (PLDs), Field Programmable Gate Arrays (FPGAs), processors, controllers, microcontrollers, microprocessors, etc. In a firmware or software configuration, the methods according to the embodiments of the present invention may be implemented in the form of a module, a procedure, a function, etc. performing the above-described functions or operations. A software code may be stored in the memory 1580 or 1590 and executed by the processor 1540 or 1530. The memory is located at the interior or exterior of the processor and may transmit and receive data to and from the processor via various known means. Those skilled in the art will appreciate that the present invention may be carried out in other specific ways than those set forth herein without departing from the spirit and essential characteristics of the present invention. The above embodiments are therefore to be construed in all aspects as illustrative and not restrictive. The scope of the invention should be determined by the appended claims and their legal equivalents, not by the above description, and all changes coming within the meaning and equivalency range of the appended claims are intended to be embraced therein. It is obvious to those skilled in the art that claims that are not explicitly cited in each other in the appended claims may be presented in combination as an embodiment of the present invention or included as a new claim by a subsequent amendment after the application is filed. INDUSTRIAL APPLICABILITY Embodiments of the present invention are applicable to various wireless access systems including a 3GPP system, a 3GPP2 system, and/or an IEEE 802.xx system. In addition to these wireless access systems, the embodiments of the present invention are applicable to all technical fields in which the wireless access systems find their applications.",H04L272655,H04L2726,20160122,20170613,20160616,57222.0